ISA bus kontakti. ISA sistemska sabirnica ISA sabirnice

Uvod 3

1 Analiza teme nastavnog rada 4

1.1 Analiza postojećih uređaja i njihovih konstrukcijskih karakteristika 4

1.2 ISA 9 sistemska sabirnica

1.2.1 Karakteristike sistemske sabirnice 9

1.2.2 Karakteristike dizajna modula sistemske sabirnice 19

1.3 Modul 22 faze projektovanja

1.4 Zaključci za Poglavlje 1 22

2 Izrada dijagrama modula 23

2.1 Opće informacije 23

2.2 Izrada generalizovane šeme modula 24

2.3 Izbor VLSI i opis njegove strukture 25

Opis režima rada VLSI KR580VI53 27

2.4 Odabir adresnog prostora I/O portova 28

2.5 Razvoj elemenata interfejsa modula 29

2.6 Odabir baze elemenata i razvoj dijagrama strujnog kola 30

2.7 Zaključci za Poglavlje 2 30

3 Razvoj softverskih modula 31

3.1 Razvoj modula za inicijalizaciju softvera 31

3.2 Zaključci za Poglavlje 3 32

Zaključak 33

Dodatak A
(referenca) 34

Bibliografija 34

Dodatak B
(Obavezno) 35

Dodatak B
(Obavezno) 36

Državna obrazovna ustanova visokog obrazovanja

stručno obrazovanje

VYATSK DRŽAVNI UNIVERZITET

FAKULTET ZA AUTOMATIKU I RAČUNARSTVO

ZAVOD ZA AUTOMATIKU I TELEMEHANIKU

ZADATAK ZA KURSNI PROJEKAT

u disciplini "Arhitektura računara"

TEMA: Razvoj hardverskih i softverskih modula za sistemsku sabirnicuJe

Student grupe (šifra)

    Početni podaci za projekat: Opcija br. 15

    ●Izvršiti tematski pregled na osnovu naučno-tehničke literature.

    ● Dizajnirajte VLSI baziran hardverski modul za ISA sistemsku magistralu. Programabilni digitalni generator signala

    ●Razviti softverske procedure za inicijalizaciju, upravljanje i kontrolu hardverskog modula.

    Objašnjenje:

    Uvod

    1 Analiza teme nastavnog rada Greška: izvor unakrsnih referenci nije pronađen

    1.1 Analiza postojećih uređaja i karakteristike njihovog dizajna Greška: izvor unakrsnih referenci nije pronađen

    1.2 Sistemska sabirnicaJE 8

    1.2.1 Karakteristike sistemske sabirnice Greška: izvor unakrsnih referenci nije pronađen

    1.2.2 Dizajnerske karakteristike modula sistemske sabirnice Greška: izvor unakrsnih referenci nije pronađen

    1.3 Faze dizajna modula Greška: izvor unakrsnih referenci nije pronađen

    1.4 Zaključci u poglavlju 1 Greška: izvor unakrsnih referenci nije pronađen

    2 Razvoj dijagrama modula Greška: izvor unakrsnih referenci nije pronađen

    2.1 Opće informacije Greška: izvor unakrsnih referenci nije pronađen

    2.2 Razvoj generalizovanog dijagrama modula Greška: izvor unakrsnih referenci nije pronađen

    2.3 Izbor VLSI i opis njegove strukture Greška: izvor unakrsnih referenci nije pronađen

    2.4 Odabir adresnog prostora I/O portova Greška: izvor unakrsnih referenci nije pronađen

    2.5 Razvoj elemenata interfejsa modula 27

    2.6 Izbor baze elemenata i izrada dijagrama kola 28

    2.7 Zaključci za Poglavlje 2 28

    3 Razvoj softverskih modula 29

    3.1 Razvoj modula za inicijalizaciju softvera 29

    3.2 Zaključci za Poglavlje 3 30

    Zaključak Greška: izvor unakrsnih referenci nije pronađen

    Dodatak A (referenca) Bibliografija 32

    Dodatak B (obavezno) Spisak skraćenica Greška: izvor unakrsnih referenci nije pronađen

    Dodatak B (obavezno) Popis softverskog modula za inicijalizaciju Greška: izvor unakrsnih referenci nije pronađen

    Raspored rada kurseva:

1 Teoretski dio 25% do _______ 3 Programski dio 25% do _______

2 Obračunski dio 25% do _______ 4 Grafički dio 25% do _______

Rukovodilac rada _____________/________________________________/ 17.02.2010

(potpis) (Puno ime nastavnika)

Prihvatio zadatak do _____________/_____________________/ 17.02.2010

(potpis) (puno ime i prezime studenta)

Uvod

Nedavno su diskretni sistemi upravljanja i diskretni sistemi za prenos informacija postali široko rasprostranjeni. Rad takvih sistema zasniva se na diskretnoj (digitalnoj) obradi informacija i diskretnim (digitalnim) signalima, koji su opisani nizovima referentnih vrijednosti u diskretnom skupu tačaka.

Digitalni signali imaju niz prednosti u odnosu na analogne signale. Za razliku od analognih signala, digitalni signali se ne prenose kao talasi, već u binarnom obliku, ili u obliku bitova. Prisustvo napona je označeno kao jedan, a odsustvo - kao nula. Ovo svojstvo digitalnog formata, u kojem su predviđena samo dva stanja - postoji signal i nema signala, - omogućava vam da primate i reprodukujete zvukove u njihovoj iskonskoj čistoći. Sa digitalnim signalima to se može uraditi sa visokim stepenom pouzdanosti. Mnogo je teže precizno reproducirati val, koji može imati mnogo različitih oblika, za razliku od bita koji može imati samo dvije vrijednosti - uključeno i isključeno.

I analogni i digitalni signali su inherentno nestabilni tokom prenosa. Kako se raspon širenja povećava, oba signala slabe, slabe i podložni su smetnjama. Međutim, digitalni signali se mogu ispraviti i obnoviti bolje od analognih signala. Kada digitalni signal izložen smetnjama počne da blijedi, uređaj na komunikacijskoj liniji dizajniran da ga pojača, "znajući" da je svaki bit informacije ili jedinica ili nula, vraća signal bez izobličenja. Smetnje se odbacuju, a ne regenerišu i pojačavaju, kao što je slučaj sa analognim signalom.

Osim čistoće audio signala, digitalni signali omogućavaju slanje podataka sa manje grešaka. U analognim linijama, gdje je signal šuma također pojačan, prijemni uređaji mogu tumačiti ovaj signal kao bit informacije. Oni koji koriste modeme za razmjenu podataka često dobijaju pokvarene informacije. U digitalnim komunikacijama signal koji ometa se odbacuje i stoga se izobličenja i greške u prijenosu podataka rjeđe uočavaju.

Ovaj kursni projekat posvećen je razvoju jednog od ovih modula - programabilnog digitalnog generatora signala, odnosno generatora pravokutnih impulsa. Potrebna maksimalna izlazna frekvencija prema specifikaciji je 2 MHz, broj izlaza je 1.

Proces dizajna podijeljen je u nekoliko faza. Poglavlje 1 analizira temu nastavnog rada, ispituje postojeće analoge projektovanog modula i karakteristike njihovog dizajna, te daje karakteristike ISA magistrale. Poglavlje 2 govori o karakteristikama dizajna modula, izboru VLSI, adresnom prostoru i razvija dijagram kola. Poglavlje 3 opisuje razvoj softverskog modula za inicijalizaciju uređaja.

1 Analiza teme nastavnog rada

1.1 Analiza postojećih uređaja i karakteristike njihovog dizajna

Digitalni signal je signal koji može imati samo jedno od dva navedena stanja. U većini sklopova prihvaćeno je da pojava na izlazu električnog kola napona u rasponu od 2,4V do 5V odgovara pojavi jednog signala (visoki nivo digitalnog signala), ako napon ne prelazi 0,5 V, tada se signal uzima jednak 0 (nizak nivo digitalnog signala).

Potrebno je razviti programabilni generator digitalnih signala sa 1 izlazom, odnosno generator kvadratnog impulsa.

Maksimalna frekvencija izlaznog signala je 2 MHz. Pod programibilnošću podrazumijevamo mogućnost postavljanja parametara signala. Dva parametra u potpunosti određuju oblik pravokutnog impulsa: frekvencija i radni ciklus. Grafički su gornje vrijednosti prikazane na Sl. 1.1.

Rice. 1.1 – Digitalni signal, njegove karakteristike

Takav generator se može koristiti:

    U upravljačko-mjernom sistemu baziranom na personalnom računaru.

    Za generiranje satnih signala.

    Kao dio industrijskih instalacija koje zahtijevaju generiranje različitih signala.

    Za rad u sklopu automatizovanih kompleksa za traženje prislušnih uređaja (generatori RS/N i RS/N232).

    Generator RV131.03 je dizajniran da generiše vremenski interval i niz impulsa sa jednakim programiranim trajanjem, kao i da generiše logičke signale koji označavaju početak i kraj zadatog trajanja vremenskog intervala i da pretvara procese koji se proučavaju u digitalne formu.

    Generisanje testnih signala digitalne televizije G-420, TG 2000, DTG-35, G-230, G6-35.

Generator se može razviti kao modul koji sadrži bafer RAM, gdje se upisuju uzorci kodova generiranog signala, specificirajući, posebno, njegovu frekvenciju i radni ciklus. Zatim se pokreće generator. Postoje i generatori sa dva načina pokretanja:

    režim jednokratnog pokretanja (generacija se zaustavlja nakon jednog perioda signala);

    režim automatskog pokretanja (kontinuirano generisanje dok se ne programira da se zaustavi.

Razmotrimo koji signali i podaci trebaju stići na sistemski ulaz. Ulaz prima frekvencijski kod, šifru radnog ciklusa, kao i dva kontrolna bita: dozvolu/inhibiciju proizvodnje i jednokratni/automatski start. Pored samog digitalnog signala, modul mora proizvesti i signal “generacije u toku” koji je neophodan za kontrolu i indikaciju.

Za postavljanje frekvencije koriste se dva pristupa:

1. Adrese bafer RAM-a se nabrajaju konvencionalnim binarnim brojačem, a da bi se promijenila frekvencija izlaznog signala, mijenja se frekvencija kojom se te adrese nabrajaju. U ovom slučaju se uvijek prozivaju sve RAM adrese, tj. broj uzoraka po periodu izlaznog signala se ne mijenja kada se frekvencija promijeni, što znači da se ne mijenja tačnost reprodukcije oblika signala. Nedostaci ovog pristupa su da kolo dobro radi na niskim frekvencijama izlaznog signala i da je frekvencija signala smetnje koja proizlazi iz kvantizacije nivoa izlaznog signala direktno proporcionalna frekvenciji izlaznog signala koji filtrira takve smetnje kompleksan i zahtijeva posebne podesive filtere.

2. Za nabrajanje adresa RAM-a bafera ne koristi se brojač, već akumulacijski sabirač (slika 1.2, slika 1.3), koji se sastoji od binarnog sabirača i registra pokrivenog povratnom spregom. U ovom slučaju, sa svakim narednim impulsom generatora takta, ulazni kontrolni kod se dodaje izlaznom kodu registra i rezultirajući iznos se ponovo upisuje u registar. Kao rezultat toga, u svakom ciklusu takta prirast RAM adrese će biti određen ulaznim kontrolnim kodom akumulirajućeg sabirača, promjenom kojeg možemo promijeniti brzinu prolaska svih RAM adresa, a samim tim i frekvenciju signala. Nedostatak ovog pristupa je što se oblik signala reprodukuje sa različitom tačnošću na različitim frekvencijama. Prednost ovog pristupa je što će frekvencija signala smetnje biti konstantna i lakše je filtrirati takve smetnje.

Rice. 1.2 - Nabrajanje RAM adresa pomoću akumulirajućeg sabirača

Postoji mnogo fundamentalno različitih načina konstruisanja različitih generatora impulsa. Razmotrimo konstrukciju takvih uređaja na bazi elementarnih logičkih elemenata.

1) Generator prikazan na slici 1.4 (koji koristi 2I-NOT elemente sa otvorenim kolektorom) proizvodi impulse u širokom rasponu frekvencija - od nekoliko herca do nekoliko kiloherca. Zavisnost frekvencije f (kHz) od kapacitivnosti

kondenzator C1 (pF) izražava se približnom formulom
. Radni ciklus impulsnog napona je skoro jednak 2. Kada se napon napajanja smanji za 0,5 V, frekvencija generiranih impulsa se smanjuje za 20%.

Rice. 1.4 – Generator impulsa na čipu K155LA8

2) Široku promenu frekvencije generisanih impulsa (oko 50 hiljada puta) obezbeđuje uređaj ispod (slika 1.5). Minimalna frekvencija impulsa ovdje je oko 25 Hz. Trajanje impulsa regulirano je otpornikom R 1. Učestalost ponavljanja može se odrediti formulom:

Rice. 1.5 - Generator impulsa sa podesivim trajanjem

3) Trajanje impulsa se može podesiti promjenljivim otpornikom R 2 (radni ciklus varira od 1,5 do 3), a frekvencija otpornikom R 1 (vidi sliku 1.6). Na primjer, u generatoru sa C 1 = 0,1 μF, isključivanjem otpornika R 2 samo otpornika R 1, frekvencija generiranih impulsa može se promijeniti od 8 do 125 kHz. Da bi se dobio drugačiji frekvencijski raspon, potrebno je promijeniti kapacitet kondenzatora C 1.

Rice. 1.6 – Generator impulsa sa podesivim trajanjem

4) Prilikom implementacije digitalnih uređaja za različite namjene često je potrebno generirati kratke impulse duž rubova ulaznog signala. Konkretno, takvi impulsi se koriste za resetovanje brojača kao sinhronizacioni impulsi prilikom upisivanja informacija u registre itd. Kada se napon Uin promeni sa niskog na visoki, ovaj pad se bez odlaganja dovodi na ulaz 13 elementa DD1.4. Na istom

U vremenu na ulazu 12 elementa DD1.4, napon visokog nivoa se održava tokom vremena širenja signala kroz elemente DD1.1-DD1.3 (oko 75 ns). Kao rezultat toga, tokom ovog vremena izlazni napon uređaja ostaje nizak. Tada se napon postavlja na nizak na ulazu 12, a na visok na izlazu uređaja. Tako se formira kratak negativni impuls čija se prednja strana poklapa s prednjom stranom ulaznog napona. Da bi se takav uređaj koristio za generiranje negativnog impulsa na prekidu ulaznog signala, mora se dopuniti drugim pretvaračem. Dijagram i vremenski dijagrami rada takvog uređaja prikazani su na Sl. 1.7.

Rice. 1.7 – Sklop i vremenski dijagram generatora kratkog negativnog impulsa na osnovu pozitivnog/negativnog pada napona na njegovom ulazu

Slika 1.8 prikazuje shemu i vremenski dijagram rada uređaja za oblikovanje impulsa duž prednje i padajuće ivice ulaznog signala.

Rice. 1.8 Formiranje impulsa na ivici i padu ulaznog signala

5) Problem generisanja digitalnog signala zadate frekvencije i radnog ciklusa takođe se može rešiti korišćenjem pojedinačnih vibratora (slika 1.9). Serija K155 takođe uključuje mikrokolo K155AG3. Vremenski dijagrami njegovog rada prikazani su na Sl. 1.10. Sadrži dva monovibratora u jednom kućištu. Opcije za povezivanje vanjskih vremenskih elemenata i vremenski dijagram monovibratora prikazani su na slikama. Monostabil se takođe pokreće ili negativnim padom ulaznog signala na ulazu A sa visokim nivoom na ulazima B i R, ili pozitivnim padom napona na ulazu B sa niskim nivoom na ulazu A i visokim nivoom na ulazu R Trajanje impulsa t and1 je određeno vremenskom konstantom vremenskog kola, ali se može smanjiti primjenom niskog napona na ulazu R na t and2.

Rice. 1.9 – Opcije za oblikovanje impulsa pomoću monostabila

Rice. 1.10 – Vremenski dijagram rada kola K155AG3

6) Generatori digitalnih signala se također mogu izraditi korištenjem specijalizovanog LSI. Međutim, većina problema ove vrste može se riješiti korištenjem standardnih elemenata bez korištenja mikrokontrolera.

1.2 ISA sistemska sabirnica

1.2.1 Karakteristike sistemske sabirnice

Karakteristike sistemske sabirniceJE

ISA (iz engleske industrijske standardne arhitekture, ISA magistrala, izgovara se ay-say) je 8 ili 16-bitna ulazno/izlazna magistrala za IBM PC kompatibilne računare. Koristi se za povezivanje ISA standardnih kartica za proširenje. Strukturno je napravljen u obliku 62 ili 98-pinskog konektora na matičnoj ploči.

Pojavom ATX matičnih ploča, ISA magistrala je prestala da se široko koristi u računarima, iako postoje ATX ploče sa AGP 4x, 6 PCI i jednim (ili dva) ISA portom. Ali za sada se još uvek može naći u starim AT računarima, kao iu industrijskim računarima.

ISA je korišćen u prvom IBM PC-u 1981. godine i u poboljšanoj 16-bitnoj verziji u IBM PC/AT računarima 1984. godine. Trenutno je ISA magistrala ustupila mjesto PCI magistrali i njenom grafičkom proširenju AGP. Štaviše, AGP je već zamenjen prilično obećavajućim PCI-Express magistralom. Međutim, u industrijskim i ugrađenim računarima visokih performansi ova "drevna" ISA sabirnica (zajedno sa EISA) je glavna. Razlozi za to su sljedeći:

    visoka pouzdanost, široke mogućnosti, kompatibilnost; Ova magistrala je brža od većine perifernih uređaja povezanih na nju.

    najveći broj sistema zbog niske cijene;

    veliki izbor aplikacija;

    brzina prenosa do 2 Mbit/s;

    dobra otpornost na buku;

    veliki broj kompatibilne opreme i softvera (zahvaljujući tome, komponente različitih proizvođača su zamjenjive).

Postoje dvije verzije ISA magistrale, koje se razlikuju po broju bitova podataka: 8-bitna verzija (stara) i 16-bitna (nova). Stara verzija je radila na taktnoj frekvenciji od 4,77 MHz na računarima klase PC i XT. Nova verzija je korišćena u računarima klase AT na frekvenciji takta od 6 i 8 MHz. Kasnije je postignut dogovor o standardnoj maksimalnoj brzini takta od 8,33 MHz za obje verzije sabirnica, čime je osigurana njihova kompatibilnost. Neki sistemi dozvoljavaju upotrebu sabirnica kada rade na visokim frekvencijama, ali ne mogu sve adapterske kartice izdržati takve brzine. Za prijenos podataka na magistrali potrebno je od 2 do 8 ciklusa takta. Možete odrediti maksimalnu brzinu prijenosa podataka na ISA magistrali (to je 8 MB/s):

Propusnost 8-bitne magistrale je 2 puta manja (4 MB/s). Ove vrijednosti propusnosti su teoretske. U praksi se ispostavi da je otprilike 2 puta manje od teoretskog, ali to ne sprječava ISA sabirnicu da radi brže od većine perifernih uređaja povezanih na nju.

Prepoznatljive karakteristike gume JE :

1. Karakteristična razlika između ISA je u tome što se signal takta ne poklapa sa signalom takta procesora, stoga je kurs kroz njega neproporcionalan frekvenciji takta procesora.

2. ISA magistrala se odnosi na demultipleksirane (tj. sa odvojenim adresnim i podatkovnim magistralama) 16-bitne sistemske magistrale srednje brzine. Razmjena se vrši u 8- ili 16-bitnim podacima.

3. Na autoputu je organizovan poseban pristup memoriji računara i uređajima za ulaz/izlaz (za to postoje posebni signali).

4. Maksimalna količina adresabilne memorije je 17 MB (24 adresne linije).

5. Maksimalni adresni prostor za I/O uređaje je 64 KB (16 adresnih linija), iako gotovo sve dostupne kartice za proširenje koriste samo 10 adresnih linija (1 KB).

6. Okosnica podržava dinamičku regeneraciju memorije, radijalne prekide i direktan pristup memoriji.

7. Dozvoljeno je snimanje autoputa vanjskim uređajima.

8. Pozitivna logika na adresnim i podatkovnim magistralama, tj. Jedan odgovara visokom naponskom nivou, a nula niskom naponskom nivou. 4 napona napajanja: +5V, -5V, +12V i -12V.

9. Raspon dostupnih memorijskih adresa je ograničen UMA regijom (Unified Memory Architecture – unificirana memorijska arhitektura. Opseg I/O adresa je ograničen iznad brojem adresnih bitova koji se koriste za dešifriranje, donja granica je ograničena region adresa 0-FFh rezervisan za uređaje na matičnoj ploči U PC-u je usvojeno 10-bitno I/O adresiranje, u kojem su uređaji zanemareni na adresne linije Područje 100h-3FFh, odnosno ukupno 758 adresa 8-bitnih registara, također se polaže na sistemske uređaje. kada ga koristite, uvijek je potrebno uzeti u obzir mogućnost prisustva na sabirnici starih 10-bitnih adaptera koji će na adresu "reagovati" odgovarajućim A bitovima u cijelom važećem području od četiri puta Pretplatnici sabirnice ISA-8 mogu imati do 6 IRQ (Interrupt Request) linija, za ISA-16 njihov broj dostiže 11. Pretplatnici sabirnice mogu koristiti do tri 8-bitna DMA kanala, a na 16-bitnoj magistrali mogu. biti dostupna još tri 16-bitna kanala.

Najčešći dizajn magistrale su konektori (slotovi) instalirani na matičnoj ploči računara, čiji su svi istoimeni kontakti međusobno povezani, tj. Svi konektori su apsolutno jednaki. Posebnost dizajna okosnice je da kartice za proširenje (kćerke ploče) povezane na njegove konektore mogu imati različite veličine (dužina ploče je ograničena odozdo veličinom konektora, a odozgo dužinom konektora kućište računara).

8-bitna sabirnicaJE

Ova magistrala je korišćena u prvom IBM PC-u, praktično se ne koristi u novim sistemima. Adapterska ploča sa 62 pozlaćena štampana kontakta je umetnuta u konektor. Konektoru je dodijeljeno 8 podatkovnih linija i 20 adresnih linija, što vam omogućava adresiranje do 1 MB memorije. Adapterska ploča za 8-bitnu ISA magistralu ima sljedeće dimenzije: visina – 4,2″ (106,68 mm), dužina – 13,13″ (333,3 mm), debljina – 0,5″ (12,7 mm). Dodjela pinova i konektor 8-bitne ISA magistrale prikazani su na Sl. 1.11.

Rice. 1.11 - Dodjela pinova i konektor 8-bitne ISA magistrale

Signal za odabir ploče –CARD SLCTD mora biti doveden na pin B8. Činjenica je da u računarima XT klase i laptopima klase PC, nisu sve ploče mogle da se umetnu u slot 8 (najbliži izvoru napajanja). Na primjer, tastatura/ploča sa tajmerom iz 3270 PC-a se može umetnuti tamo. Ove ploče imaju različite zahtjeve za sinhronizaciju za ovaj slot, obezbjeđene posebnim taktnim signalom.

16-bitna sabirnicaJE

Pojavio se u PC/AT računarima sa duplim konektorima za proširenje. 8-bitna kartica se može umetnuti u glavni dio 16-bitnog konektora. Postoje 2 karakteristike koje onemogućuju umetanje ploče u konektor obrnuto:

ključ - izrez u adapterskoj ploči, koji se, kada je instaliran, podudara ili ne podudara s izbočinom na konektoru.

različite dužine dva dela konektora sabirnice.

Dodatni kontakti koji se pojavljuju zbog povećanja širine sabirnice povezani su na 36 kontakata drugog dijela konektora. Jedan ili dva kontakta u glavnom dijelu imaju drugačiju namjenu.

Kod nekih starijih adaptera, dio donje ivice, bez ispisanih kontakata, strši prema dolje i koristi se za ugradnju elemenata ili ožičenja

provodnici. Nakon ugradnje takvog adaptera u konektor, ova ivica praktično dodiruje površinu matične ploče. Ako postoji produžetak konektora sabirnice na ovom dijelu matične ploče, tada je nemoguće umetnuti adapter. Za takve kartice postoje dva konektora bez 16-bitnog proširenja.

Tipična adapterska ploča AT klase ima sljedeće dimenzije: visina – 4,8″ (121,92 mm), dužina – 13,13″ (333,3 mm), debljina – 0,5″ (12,7 mm). Dodjela pinova i konektor 16-bitne ISA magistrale prikazani su na Sl. 1.12.

Rice. 1.12 - pinout 16-bitne ISA sabirnice

Sastav i namjena autobuskih linijaJE

Sve ISA autobuske linije mogu se podijeliti u šest grupa:

    podatkovne linije;

    adresne linije;

    kontrolne linije;

    linije za direktni pristup memoriji;

    prekinuti servisne linije;

    dalekovode i pomoćne vodove.

Oznaka i svrha linija je kako slijedi.

1) AEN - Address Enable - koristi se u DMA modu za obavještavanje svih kartica za proširenje da je DMA ciklus u toku. Instaliran i uklonjen paralelno sa adresom.

2) BALE - Address Latch Enable Buffered. Adresni bitovi strob signala. Postavljanje visokog nivoa označava početak ciklusa sabirnice i početak izdavanja valjane (ali još neutvrđene) adrese adresnim linijama. Pada ivica signala ukazuje da je adresa postavljena i da se koristi za pohranjivanje („zakačeno“) stanje linija SAOO...SA19 i LA17...LA23 u memorijskim modulima. Tip izlaznog stupnja TTL.

3) I/O CH RDY (I/O Channel Ready - spremnost ulazno/izlaznog kanala). Ovaj signal, obično visok, dolazi do niske memorije ili eksternog uređaja kako bi se produžio ciklus pristupa. Svaki spori uređaj koji koristi ovaj signal mora ga održavati niskim dok ne izvrši operaciju prepoznavanja adrese i izvrši naredbu za čitanje ili pisanje. Komunikacijski ciklus kao odgovor na uklanjanje signala je produžen za cijeli broj ciklusa takta SYSCLK signala. Linija ne bi trebala biti niska duže od 15 µs i trebala bi biti pokretana uređajem otvorenog kolektora.

4) -DACK0...-DACK7. (DMA zahtjev ACKnowledge - potvrda DMA zahtjeva). Signal potvrde direktnog pristupa. Signal generira DMA kontroler. Tip izlaznog stupnja TTL.

5) DRQ0...DRQ7. (DMA zahtjev - DDP zahtjev). Signali zahtjeva za direktni pristup memoriji. Signal generira I/O uređaj. Zahtjev se percipira od strane DMA kontrolera i, u pojedinačnim razmjenama, resetuje se dolaskom odgovarajućeg signala DACK i.

6) -I/O CH CK. (Provjera ulazno/izlaznih kanala - greška ulaza/izlaza). Signal generira bilo koji izvršilac - ulazno/izlazni uređaj ili memorija kako bi obavijestili mastera o grešci, na primjer o grešci pariteta u memorijskom modulu. Tip izlaznog stepena je otvoreni kolektor.

7) -I/O CS16. (Odabir I/O ciklusa 16 - Odaberite 16-bitni ciklus za I/O uređaj). Signal generira I/O uređaj kako bi rekao masteru da može rukovati 16-bitnim podacima. Tip izlaznog stepena je otvoreni kolektor.

8) -IOR. (I/O Read - Čitanje sa I/O uređaja). Strobe signal za čitanje podataka sa ulazno/izlaznog uređaja. Tip izlaznog stupnja - tri stanja.

9) -IOW. (I/O Write - Upisivanje na I/O uređaj). Stroboskopski signal koji se koristi za određivanje trenutka kada je moguće započeti snimanje podataka koje postavlja master.

10) IRQ3...IRQ7, IRQ9...IRQ12, IRQ14, IRQ15. (Zahtjev za prekidom - zahtjev za prekidom). Signal generira uređaj koji traži sabirnicu za razmjenu. Zahtjevi za prekide se šalju na ulaz kontrolera prekida koji se nalazi na matičnoj ploči. Ako odgovarajući nivo nije blokiran, tada rastuća ivica IRQ i uzrokuje prekid rada procesora i prijelaz na servisni program za odgovarajući zahtjev. Visok nivo IRQ i mora se održavati sve dok signal potvrde prekida iz centralnog procesora ne stigne u kontroler prekida.

11) LA17..LA23. (Latchable Address - adresa koja zahteva memorisanje u izvršiocu). Signal može generirati CPU, DMA kontroler ili master na ploči za proširenje. Signali se koriste za adresiranje memorijskih modula velike brzine na magistrali, pružajući proširenje adresnog prostora do 16 MB. Za razliku od signala SA0...SA19, čije su stabilne vrijednosti zagarantovane tokom cijelog ciklusa sabirnice, signale LA17...LA23 daje master samo kada je nivo signala BALE visok.

12) -MASTER. (Master - Master). Signal generira master na ploči za proširenje. Sa niskim nivoom signala, jedna od kartica za proširenje javlja da kontroliše sabirnicu - to je master.

13) -MEM CS16. (MEMOry 16-bit Chip Select - 16-bitna memorija). Sa niskim nivoom signala, memorijski modul kojem se pristupa obavještava mastera da može podržati 16-bitne prijenose sa jednim stanjem čekanja u trenutnom ciklusu razmjene.

14) -MEMR,SMEMR. (MEMory Read, System MEMory Ready - Čitanje iz memorije). Signale može generirati CPU ili master na ploči za proširenje. Signali se koriste za traženje čitanja podataka iz memorije. Adresama u zoni do 1 MB pristupa se sa aktivnim (niskim) SMEMR i MEMR signalima, preko 1 MB - sa neaktivnim (visokim) SMEMR i aktivnim (niskim) MEMR signalima.

15) -MEMW, SMEMW. (MEMory Write, System MEMory Write - Zapisivanje u memoriju). Signal generira CPU ili master na ploči za proširenje. Nizak signal upisivanja u memoriju označava početak ciklusa pisanja. Adresama u zoni do 1 MB se pristupa sa aktivnim (niskim) -SMEMW i -MEMW, preko 1 MB - sa neaktivnim (visokim) -SMEMW i aktivnim (niskim) -MEMW.

16)OSC. (OSCillator - generator takta). Signal generira centralna procesorska jedinica. Signal sa frekvencijom od 14,31818 MHz i radnim ciklusom od 50%. Generalno, nije sinhronizovan sa radnim taktom procesora.

17) -OWS. (0 stanja čekanja - 0 ciklusa čekanja). Signal postavlja izvršilac da informiše mastera o potrebi da se izvrši ciklus razmene bez umetanja ciklusa čekanja, ako je trajanje standardnog ciklusa dugo za njega. Generirano nakon što signal BALE padne nizak. Mora biti sinhronizovan sa SYSCLK signalom. Tip izlaznog stepena je otvoreni kolektor.

18) -OSVEŽI. (REFRESH - Regeneracija). Signal generira kontroler regeneracije kako bi obavijestio sve uređaje povezane na kičmu da se dinamička RAM memorija računala regeneriše (svakih 15 μs).

19) RESET. (Reset - Reset). Signal resetovanja, čiji visoki (aktivni) nivo vraća sve uređaje u prvobitno stanje. Signal generira centralni procesor kada se uključi ili isključi napajanje, kao i kada se pritisne tipka RESET.

20) SA0...SA19. (Adresa sistema - Sistemska adresna sabirnica). Signale generira CPU, DMA kontroler ili memorijski modul. Služi za adresiranje I/O uređaja i memorije. Oni se još nazivaju i blokirani adresni bitovi jer su važeći tokom cijelog ciklusa razmjene. Koriste se za prijenos najmanje značajnih 20 bitova memorijskih adresa (adresa sadrži ukupno 24 bita).

21) -SBHE. (System Bus High Enable - Omogućava prijenos visokog bajta na sabirnici). Signal određuje tip ciklusa prijenosa podataka - 8 ili 16 bita. Proizvedeno paralelno sa signalima SA0...SA19. Signal generira CPU ili memorijski modul. Nizak nivo signala ukazuje na prenos visokog bajta podataka duž linija SD8...SD15. Zajedno sa SAO signalom, omogućava određivanje tipa ciklusa sabirnice.

Tabela 1.1 – Određivanje tipa ciklusa prenosa podataka na sabirnici

22) SD0...SD7. (Sistemski podaci - Sistemska sabirnica podataka, niski bajt). Signal generira CPU, memorijski modul, master na ploči za proširenje i modul ulazno/izlaznog uređaja. Prenosni vodovi na sabirnici bajtova niske vrijednosti podataka. 8-bitni uređaji moraju koristiti samo ove linije za prijenos podataka. Ako softver podržava 16-bitni ili 32-bitni prijenos na 8-bitnoj sabirnici podataka, matična ploča generiše dva ili četiri uzastopna ciklusa prijenosa na tim linijama.

23) SD8...SD15. Sistemski podaci (sistemska sabirnica podataka, visoki bajt). Signal generira CPU, memorijski modul, master na ploči za proširenje i modul ulazno/izlaznog uređaja. Visoki bajt sistemske sabirnice podataka koristi se za prijenos podataka od strane 16-bitnih uređaja.

24) SYSCLK (Sistemski sat, Sat sabirnice - signal takta magistrale). Signal sistemskog sata sa radnim ciklusom 2 (kvadratni talas). U većini računara signal nije sinhronizovan sa frekvencijom procesora, a frekvencija mu je 8 MHz. Tip izlaznog stupnja - tri stanja.

25) TC. (Broj terminala - Brojanje je završeno). Signal generira DMA kontroler i koristi se prilikom dovršetka prijenosa blokova. Signal izvještava o završetku posljednjeg ciklusa prilikom prijenosa niza podataka preko DMA kanala.

Analizirajući gore navedene signale, možemo zaključiti koje se operacije razmjene na ISA sistemskoj magistrali obavljaju sa uređajima

I/O U softverskim i DMA režimima, četiri vrste operacija (ciklusa) se izvode na ISA magistrali:

1 - operacija upisivanja u memoriju;

2 - operacija čitanja iz memorije;

3 - operacija pisanja na ulazno/izlazni uređaj;

4 - operacija čitanja sa ulazno/izlaznog uređaja.

Električne karakteristike autobusaJE

ISA standard sabirnice definira zahtjeve ulazne i izlazne struje za prijemnike i izvore signala svake kartice za proširenje. Izlazni stupnjevi sistemskih predajnika signala u zraku moraju proizvoditi struju niskog nivoa od najmanje 24 mA (ovo se odnosi na sve tipove izlaznih stupnjeva) i struju visokog nivoa od najmanje 3 mA (za tri-state i TTL izlaze ).

Ulazni stupnjevi prijemnika sistema ne smiju trošiti više od 0,8 mA niske ulazne struje i najviše 0,04 mA ulazne struje visokog nivoa.

Osim toga, potrebno je uzeti u obzir da maksimalna dužina tiskanog vodiča od kontakta glavnog konektora do pina mikrokola ne smije biti veća od 65 milimetara, a maksimalni kapacitet u odnosu na uzemljenje za svaki kontakt glavnog konektor ne bi trebao biti veći od 20 pF.

Otpornici opterećenja su povezani na neke vodove glavne linije, koji idu na magistralu napajanja +5 V 4.7 kOhm otpornici su povezani na linije -IOR, -IOW, -MEMR, -MEMW, -SMEMR, -SMEMW, -I/. O CH SK, na -I/O CS 16, -MEM CS 16, -REFRESH, -MASTER, -OWS linije - 300 Ohma, i na I/O CH RDY liniju - 1 kOhm. Osim toga, serijski otpornici su povezani na neke vodove magistrale: otpornici od 22 oma su povezani na -IOR, -IOW, -MEMR, -MEMW, -SMEMR, -SMEMW i OSC linije, a otpornici od 27 oma su povezani na SYSCLK linija.

Tabela 1.1 - Opis signala ISA sabirnice

Oznaka

Svrha

Smjer- tion

Vrsta izvora

Adresni signali

L.A.<23...17>

Adresni signali

Visoka rezolucija bajtova na SD linijama<15...8>

Strob za pisanje adresa duž LA linija

Rezolucija adrese. Obavještava uređaje da se na magistrali pokreću DMA petlje

Sabirnica podataka

Čitanje memorije (čitanje memorije unutar prvog megabajta adresnog prostora)

Zapisivanje u memoriju (upisivanje u memoriju unutar prvog megabajta adresnog prostora)

Čitanje UVV

Snimanje u UVV

Izbor memorijskog ciklusa, označava da je memorija 16-bitna

Odabir ciklusa za zračni talas označava da je zračni talas 16-bitni

Spremnost I/O kanala. Dizajniran da produži cikluse pristupa

0 ciklusa čekanja

Regeneracija memorije

Vodeći.

Dizajniran za hvatanje sabirnice s vanjskom pločom

Provjera I/O kanala. Fatalna poruka o grešci

Resetovanje uređaja

Frekvencija sistema

Frekvencija jednaka 14,3818 MHz<15,14,12,

11,10,9,7...3>

IRQ

Zahtjev za prekidom<7...5,3...0>

DRQ

Zahtjev za RAP<7...5, 3...0>

DASK

RAP potvrda

Kraj DAP brojanja

Bilješka:

U tabeli se koriste sljedeće oznake:

znak “-” (minus) ispred oznake signala znači da je aktivni nivo ovog signala logička nula;

I – signal je ulaz za eksterne ploče;

O – izlazni signal za vanjske ploče;

I/O – signal je i ulaz i izlaz za vanjske ploče;

TRI – izlaz mikrokola sa tri dozvoljena izlazna stanja;

TTL – izlaz tranzistor-tranzistor logičkog čipa;

OK – otvoreni izlaz kolektora.

Tabela 1.2 prikazuje električne karakteristike izvora signala ISA sabirnice.

Tabela 1.2 - Električne karakteristike izvora signala ISA sabirnice

predajnik

Tabela 1.2 - Električne karakteristike izvora signala ISA sabirnice

Prijemnik

prijemnik

Predajnik

    napomene:

    sve struje u tabeli su izražene u miliamperima. Znak “-” ispred trenutne vrijednosti znači da struja teče sa vanjske ploče u utor magistrale;

    vod sa izlazom otvorenog kolektora može se spojiti na TTL ulaz;

duž linije sa izlazom otvorenog kolektora, struja Ioh (struja curenja) ne bi trebala prelaziti 0,4 miliampera za svaki slot.

1.2.2 Dizajnerske karakteristike modula sistemske sabirnice

Prilikom razvoja modula potrebno je prije svega formulirati zahtjeve za njega i analizirati funkcije koje računar mora obavljati koristeći ovaj modul.

Prilikom projektovanja vazdušnih komponenti uključenih u deo vazdušnog interfejsa, potrebno je uzeti u obzir vremenske dijagrame ISA sistemske magistrale (slika 1.9). Najvažniji vremenski intervali pri projektovanju zračnih eksplozija su:

    kašnjenje između podešavanja adrese i prednje ivice stroboskopa (najmanje 91 ns) - određuje vreme za prepoznavanje njegove adrese od strane projektovanog vazdušnog uređaja;

    trajanje stroboskopa (najmanje 176 ns);

    kašnjenje između prednje ivice -IOR signala i podešavanja očitanih podataka od strane SAD (ne više od 110 ns) - određuje zahtjeve za performanse bafera podataka u zraku;

    kašnjenje između padajućeg ruba -IOW signala i snimanja snimljenih podataka (najmanje 30 ns) - određuje zahtjeve za brzinu vazdušnih čvorova koji primaju podatke.

Generalizovani blok dijagram dela vazdušnog interfejsa uključuje sve sledeće čvorove (slika 1.13):

    ulazni baferi (opciono);

    dvosmjerni bafer podataka (općenito treba podijeliti na dva za svaki bajt);

    izlazni bafer kontrolnih signala;

    selektor adrese (AS);

    unutrašnji pokretač kapije (STR);

    generator asinhrone razmjene signala I/O CH RDY (DK).

Rice.

1.13 - Generalizovani blok dijagram dijela vazdušnog interfejsa

Prijemnici glavnih signala moraju zadovoljiti dva glavna zahtjeva: niske ulazne struje i veliku brzinu (moraju biti u stanju da rade u vremenskim intervalima ciklusa razmjene koji su im dodijeljeni). Zahtjeve za prijemnike ispunjavaju sljedeće serije mikro kola: KP1533 (SN74ALS), K555 (SN74LS) i KP1554 (74AC). Vrijednosti logičke nulte ulazne struje za njih su 0,2 mA, 0,4 mA i 0,2 mA, respektivno, a vrijednosti vremenskih kašnjenja ne prelaze 15 ns, 20 ns i 10 ns, respektivno. Zahtjevi za predajnike: velika izlazna struja i velika brzina. Često moraju imati i preklopni izlaz (na primjer, za sabirnicu podataka), to jest, otvoreni kolektor ili izlaz u tri stanja. To je zbog potrebe da zračni val prijeđe u pasivno stanje u slučaju da mu nema pristupa. Zahtjevi za primopredajnike uključuju zahtjeve za prijemnike i predajnike, odnosno nisku ulaznu struju, veliku izlaznu struju, veliku brzinu i obavezno gašenje. izlaza. Treba napomenuti da se u najjednostavnijem slučaju (kada ima malo pražnjenja) primopredajnici mogu izgraditi na mikro krugovima prijemnika i predajnika.

Zahtjevi za birače adresa su visoke performanse (selektor adrese ne smije imati kašnjenje ne više od intervala između postavljanja adrese i početka strobe signala za razmjenu), mogućnost promjene adresa koje se mogu birati (posebno važno za I/O uređaje zbog malog broja besplatnih adresa) i niskih troškova hardvera.

Mora se uzeti u obzir da je glavni tip razmjene putem ISA sinhrona razmjena, tj. razmjenjivati ​​tempom majstora bez uzimanja u obzir brzine izvođača. Međutim, moguća je i asinhrona razmjena, u kojoj „spori“ izvršilac obustavlja rad mastera dok on izvršava potrebnu naredbu. U ovom slučaju potrebno je podesiti I/O CH RDY signal čije uklanjanje (postavljanje u logičko nulto stanje) ukazuje da izvođač nije spreman da završi ciklus razmjene.

Veliki broj modula sadrži bafer RAM, koji se koristi za međuskladištenje podataka kada se prenose sa računara na eksterni uređaj ili obrnuto. Buffer RAM se koristi u dva slučaja: 1) sa sporim vanjskim uređajima:

a) ako je potrebno održavati konstantnu brzinu izlaza (prijema) podataka;

b) prilikom prijenosa velikih količina podataka da bi se procesor oslobodio za druge zadatke.

2) ako su eksterni uređaji brzi i računar ne može da obezbedi potrebnu brzinu za prijem/izlaz informacija.

Sa paralelnim pristupom bafer RAM-u, svaka RAM ćelija ima svoju adresu u adresnom prostoru računara (tzv. zajednička memorija). Svaki glavni procesor, DMA kontroler, itd.) može komunicirati sa bafer RAM-om kao sa sistemskom memorijom, koristeći sva sredstva, sve metode adresiranja i komande za obradu linije. U adresnom prostoru ISA memorije dodjeljuje se prozor u koji se projektuju RAM adrese bafera

Uz sekvencijalni pristup, sve ćelije bafera RAM-a se mapiraju na jednu adresu u adresnom prostoru računara, tj. Kada pristupa istoj adresi, procesor pristupa različitim ćelijama RAM-a bafera u različito vrijeme.

Osnova svakog modula je programabilni LSI. Međutim, postoje i drugi načini za izradu adaptera komunikacijskog sučelja, na primjer, zasnovani na programibilnim logičkim sklopovima (FPGA) ili na jednostavnim mikro krugovima. Međutim, najbolje rješenje je korištenje specijaliziranih, programabilnih LSI-ova, u kojima se nalaze sve funkcionalne jedinice modula.

1.3 Faze dizajna modula

Potrebno je razviti programabilni generator digitalnih signala sa 1 izlazom, odnosno generator kvadratnog impulsa. Maksimalna frekvencija izlaznog signala je 2 MHz. Programabilni parametri - frekvencija i radni ciklus. Dakle, izlazna informacija će biti niz pravougaonih impulsa koji se karakterišu različitim frekvencijama i radnim ciklusima. Razmjenu informacija između PC-a i eksternog uređaja mora kontrolirati softverski dio modula koji se razvija.

Na osnovu opštih principa razvoja elektronskih kola i karakteristika dizajna ulazno-izlaznih uređaja za ISA sabirnicu, zadatak ćemo podeliti u nekoliko faza:

    sinteza generaliziranog sklopa hardverskog modula;

    izbor specijalizovanih LSI;

    sinteza blok dijagrama modula;

    izbor adresnog prostora za I/O portove i brojeve prekida;

    sinteza sklopne šeme modula;

    razvoj softverskog dijela modula za inicijalizaciju eksternog uređaja;

    razvoj softverskog dijela modula upravljanja eksternim uređajem;

1.4 Zaključci u poglavlju 1

U ovom poglavlju, pored ISA sistemske magistrale, razmatrane su i neke metode konstruisanja digitalnih generatora signala. Glavne razlike u svim opcijama, osim hardverskih, su trajanje i frekvencija izlaznih signala. Na osnovu zadatka, maksimalna izlazna frekvencija generatora bi trebala biti 2 MHz, ali nijedna od razmatranih opcija ne ispunjava ovaj zahtjev. Osim toga, modul koji se razvija zahtijeva softversku modifikaciju parametara izlaznog signala. U navedenim krugovima na karakteristike signala može se utjecati promjenom otpora ili kapacitivnosti, međutim, softversku implementaciju ovog pristupa je vrlo teško implementirati, a između ostalog, troškovi će se povećati nekoliko puta. Na osnovu navedenog, razmatrane opcije za izradu digitalnih generatora signala ne mogu se koristiti u ovom projektu. Izlaz iz ove situacije će biti korištenje mikrokontrolera u modulu koji se razvija, čiji će izbor biti izvršen u sljedećem poglavlju.

2 Razvoj dijagrama modula

2.1 Opće informacije

IBM PC računari pružaju mogućnost povezivanja dodatnih uređaja direktno na sistemsku magistralu. Da bi se to postiglo, na glavnoj ploči računala su instalirane posebne utičnice („slotovi“) u koje se mogu umetnuti dodatne kartice koje obavljaju funkcije koje nisu predviđene originalnom konfiguracijom računala. Trenutno se proizvodi veliki asortiman dodatnih ploča koje obavljaju širok spektar funkcija, uključujući proširenje mogućnosti komunikacije između računala i vanjskih uređaja. Ako je potrebno, takve se ploče mogu napraviti samostalno. Ovaj kursni projekat posvećen je razvoju jedne vrste ovakvih ploča.

Opšti dijagram IBM-kompatibilnog računara sa stanovišta korišćenja ISA magistrale (slika 2.1) sa programibilnim digitalnim generatorom signala koji je povezan na njega:

Rice. 2.1 – Opšti dijagram IBM kompatibilnog računara sa stanovišta korišćenja ISA magistrale

Oznake:

CPU - centralna procesorska jedinica

KRP – kontroler regeneracije memorije

KPR – kontroler prekida

PB - permutator bajtova

SP – sistemska memorija

UVV – ulazno/izlazni uređaj

Modul koji se razvija je strukturno povezan sa ISA sabirnicom na sledeći način (slika 2.2):

Rice. 2.2 – Organizacija sabirnice stražnje ploče

2.2 Razvoj generalizovanog dijagrama modula

Modul (slika 2.3) sadrži sljedeće komponente:

    Blok interfejsa za povezivanje sa računarom (sa ISA magistralom). Služi za povezivanje modula sa magistralom. Koristi se za prijenos kontrolnih signala i podataka između sabirnice i modula. Sastoji se od birača adrese i bafera podataka između VLSI i ISA magistrale.

    DTE – data terminal oprema. Na njega se dovodi digitalni signal koji je programirao modul.

Rice. 2.3 – Generalizirani dijagram ISA bus modula

Generalizirano kolo generatora digitalnog signala (slika 2.4) sadrži sljedeće blokove:

    birač adrese (SA)

    specijalizovani VLSI

    dvosmjerni bafer podataka (DB)

Rice. 2.4 – Generalizovano kolo generatora digitalnog signala

Birač adrese analizira -AEN signal (da li se u ovom trenutku na magistrali izvodi ciklus direktnog pristupa memoriji) i adresu postavljenu na adresnoj magistrali (SA). Ako poziv ide na dizajniranu ploču, tada CA generiše stroboskop koji omogućava rad VLSI i dvosmjernog bafera između VLSI i ISA magistrale. VLSI, koristeći signal za čitanje (-IOR) ili upisivanje (-IOW), čita ili prenosi podatke na sabirnicu podataka (SD). Niz podataka stiže na terminalnu opremu podataka (DTE) kao digitalni signal.

2.3 Izbor VLSI i opis njegove strukture

Nakon analize referentne literature o različitim VLSI, možemo istaknuti mikro krug KR580VI53. Ovaj čip je uređaj koji generiše softverski kontrolirana vremenska kašnjenja (tajmer). Konvencionalna grafička oznaka (UGO) mikrokola prikazana je na slici 2.2, blok dijagram je prikazan na slici 2.3.

Slika 2.2 – UGO KR580VI53

Slika 2.3 – Blok dijagram KR580VI53

Namjena pinova mikrokola je data u tabeli 2.1.

Tabela 2.1 – Raspored pinova mikrokola KR580VI53

Oznaka

Vrsta izlaza

Funkcionalna dodjela pinova

Ulazi/izlazi

Kanal podataka

CLK0, CLK1, CLK2

Sinhronizacija kanala 0-2

OUT0, OUT1, OUT2

Signali kanala 0, 1, 2 respektivno

KAPIJA1, KAPIJA2, KAPIJA3

Kontrolni ulazi brojača

Signal za odabir kanala 0, 1, 2

Odabir čipa

Napon napajanja 5V±5%

Mikrokrug KR580VI53 sadrži tri nezavisna identična kanala: 0, 1, 2. Razmotrimo svrhu glavnih komponenti.

Kolo za odabir kanala generiše kontrolne signale za kanale 0, 1, 2, interni i eksterni prijenos podataka i prijem kontrolnih riječi.

Bafer kanala podataka sastoji se od osam dvosmjernih oblika s izlaznim stanjem “Isključeno” i povezuje tajmer sa MP sabirnicom podataka. Preko bafera kanala, kontrolna riječ se upisuje u registre načina rada, a parametri za brojanje u brojače svakog kanala. Kola kanala 0, 1, 2 su identična i sadrže registre modova, upravljačka kola, taktna kola i brojače. Registar načina rada služi samo za snimanje informacija. Prima i pohranjuje kontrolnu riječ čiji kod određuje način rada kanala, određuje tip brojanja i redoslijed učitavanja podataka u brojač. Kontrolno kolo kanala sinhronizuje rad brojača u skladu sa programiranim režimom i rad kanala sa radom MP.

Kolo za sinhronizaciju kanala generiše seriju internih impulsa takta određenog trajanja, što zavisi od eksterne taktne frekvencije CLK i određeno je internim vremenskim krugovima kola. Maksimalna frekvencija eksternih sinhronizacionih signala CLK nije veća od 2,6 MHz.

Brojač kanala je 16-bitni unaprijed postavljeni brojač koji radi na binarnom ili BCD oduzimanju. Maksimalni broj pri brojanju je 2 16 kada radite u binarnom kodu ili 10 4 kada radite u BCD-u. Brojači kanala su nezavisni jedan od drugog i mogu imati različite načine rada i tipove brojanja. Brojanje u svakom kanalu počinje, zaustavlja se i nastavlja odgovarajućim GATE signalom „Omogući kanal“.

Opis režima rada VLSI KR580VI53

Mikrokolo može raditi u jednom od šest glavnih načina rada.

U modu 0 (prekid brojanja terminala), na izlazu kanala se generiše napon visokog nivoa nakon brojanja broja učitanog u brojač. Signal GATE omogućava početak brojanja, njegov prekid (ako je potrebno) i nastavak brojanja. Ponovno pokretanje brojača tokom brojanja prekida trenutno brojanje i nastavlja ga prema novom programu.

U modu 1 (rad multivibratora na čekanju), negativan impuls u trajanju od
, (2.1)

gdje je T CLK period taktnih impulsa;

n – broj upisan u brojač.

Multivibrator na čekanju se pokreće pozitivnom ivicom GATE signala. Svaka pozitivna ivica ovog signala pokreće trenutno brojanje ili ponovo pokreće brojač od početka. Resetovanje brojača tokom brojanja ne utiče na trenutno brojanje.

U modu 2 (generacija frekvencije), tajmer funkcionira kao djelitelj ulazne frekvencije CLK za n. U ovom slučaju, trajanje pozitivnog dijela perioda je jednako T CLK (n-1), a negativnog dijela T CLK. Ponovno pokretanje tokom odbrojavanja ne utiče na trenutno brojanje.

Mod 3 (generacija meandra) je sličan modusu 2, sa trajanjem pozitivnih i negativnih poluperioda za paran broj n jednak T CLK n/2. Za neparan broj n, trajanje pozitivnog poluperioda je T CLK n/2, a trajanje negativnog poluperioda je T CLK (n-1)/2.

U modu 4 (softversko formiranje jednog stroboskopa), impuls negativnog polariteta u trajanju od
nakon brojanja broja ubačenog u brojač. Na osnovu GATE signala i nakon ponovnog pokretanja brojača, rad kanala u modu 4 sličan je modu 0.

U modu 5 (hardversko generiranje jednog stroboskopa), na izlazu kanala se generiše impuls negativnog polariteta s trajanjem nakon brojanja broja učitanog u brojač.

2.4 Odabir adresnog prostora I/O portova

Prilikom odabira adresne zone za modul koji se projektuje potrebno je voditi računa o raspodjeli standardnih I/O adresa i odabrati adrese iz slobodnih zona. Tabela 2.5 prikazuje mapu UVB adresa IBM PC arhitekture.

Tablica 2.5 - IBM PC arhitektura UVB adresa adresa

Adresna zona

I/O uređaj

DMA kontroler (DMA master)

Kontrolor prekida (Master)

Hardverski kontrolni registri. I/O portovi

Kontrolni registri tajmera

Kontroler interfejsa tastature (8042)

RTC portovi i CMOS I/O portovi

DDP registri

Kontroler prekida (Slave)

DMA kontroler (DMA – slave)

Matematički koprocesor

Kontroler tvrdog diska

Paralelni port #2

Grafički kontroler

Serijski port #2

Mrežni portovi

Paralelni port #1

Paralelni port i jednobojni adapter

EGA adapter

CGA adapter

Kontroler disketne jedinice

Serijski port #1

Uprkos potencijalu za adresiranje 16 adresnih linija, najčešće se koristi samo 10 linija nižeg reda SAO...SA9, budući da većina prethodno razvijenih kartica za proširenje samo njih koristi, te stoga, osim u posebnim slučajevima, nema smisla obrada bitova visokog reda SA10.. .SA15.

Adresni bitovi nižeg reda sa magistrale (SA0 i SA1) moraju biti povezani na VLSI adresne ulaze (A0 i A1). Na osnovu VLSI specifikacije i zadatka koji je pred nama, dizajnirani modul će zauzeti tri adrese u adresnom prostoru. Odaberimo adresu

372h (001101110010b)-

373h (001101110011b)-

375h (001101110101b)-

Adrese 372h i 373h se koriste za učitavanje brojača kanala 0 i brojača kanala 1, respektivno, a adresa 375h se koristi za učitavanje kontrolne riječi u registar modova.

2.5 Razvoj elemenata interfejsa modula

Najjednostavnije rješenje pri konstruiranju selektora adresa je korištenje samo mikro krugova logičkih elemenata. Glavna prednost ovog pristupa su visoke performanse (latencija ne prelazi 30 ns). Međutim, postoje i nedostaci:

    Potreba da se ponovo dizajnira krug za svaku novu adresu.

    Nemogućnost promjene adrese.

    Poteškoće u organizaciji odabira nekoliko adresa.

Zadatak za predmetni projekat ne govori ništa o izboru I/O adresa. To znači da ćemo sa fiksnim adresama implementirati najjednostavniju opciju u smislu vremena i materijala, tj. Gradimo selektor adresa koristeći logičke elemente.

Funkcionalni dijagram selektora adrese prikazan je na slici 2.8.

Rice. 2.8 – Funkcionalni dijagram selektora adresa

Mi koristimo mikrokolo K555AP6 kao bafer podataka između VLSI i sabirnice podataka (slika 2.9, tabela 2.6).

Operacija

Tabela 2.6 – Tabela istinitosti K555AP6

Rice. 2.9 – UGO mikrokolo K555AP6

2.6 Izbor baze elemenata i izrada dijagrama kola

Da biste napravili dijagram strujnog kola, morate odabrati bazu elemenata. Analizirajući referentnu literaturu i uzimajući u obzir zahtjeve za prijemnike i odašiljače, izabrat ćemo sljedeće mikro krugove:

invertori – KR1533LN1,

Elementi “I-NE” - KR1533LA2, KR1533LA3,

Elementi “ILI-NE” - KR1533LE1,

brojač – KR555IE10,

bafer između VLSI i magistrale – K555AP5.

Za povezivanje signala -IOR, SA0 i SA1 sa VLSI, "I" elementi - KR1533LI1 - će se koristiti.

Signal sa OUT0 izlaza nultog kanala povezuje se na sinhronizacijski ulaz kanala 1 kako bi se promijenio radni ciklus i frekvencija izlaznog signala modula koji se razvija. CT2 brojač hardverski dijeli frekvenciju CLK signala sa 4, čime se osigurava maksimalna frekvencija izlaznog signala navedena u zadatku (2 MHz). Programskom promjenom koeficijenta brojanja kanala 0 (N1) postići ćemo promjenu frekvencije izlaznog signala. Promjenom koeficijenta brojanja kanala 1 (N2) omogućit ćemo softversku promjenu radnog ciklusa izlaznog signala. Oba kanala rade u režimu 2.

Razvijena shema kola prikazana je u TPZHA E3.

2.7 Zaključci za Poglavlje 2

U ovom poglavlju razvijeno je generalizirano modulsko kolo, odabran je specijalizirani VLSI, te su ispitani njegova struktura i načini rada. Odabrane su ulazne adrese na ploči. Na osnovu rezultata drugog poglavlja napravljen je šematski dijagram uređaja.

Prema konceptu, može se proizvesti ploča koja se ubacuje u ISA magistralni slot računara i, u softverski kontrolisanom režimu razmene, generiše digitalne signale date frekvencije i radnog ciklusa.

3 Razvoj softverskih modula

3.1 Razvoj modula za inicijalizaciju softvera

Algoritam za programiranje modula zavisi od tipa programabilnog VLSI koji se koristi i načina razmene između VLSI i računarskog procesora preko ISA sistemske magistrale.

Inicijalizacija hardverskih modula se odvija u nekoliko faza. U prvoj fazi, VLSI modul se inicijalizira. U narednim fazama, sistem prekida ili DMA se inicijalizira, ovisno o načinima razmjene podataka koji se koriste između modula i sistemskog procesora.

U ovom slučaju se vrši programski kontrolirana razmjena, tj. Samo VLSI treba biti inicijaliziran. Još jedna karakteristika je da nema potrebe za blokiranjem sistema prekida zbog činjenice da modul nema način razmjene prekida.

Procedura inicijalizacije VLSI sastoji se od programiranja načina rada potrebno je učitati CW kontrolnu riječ iz mikroprocesora. U tom slučaju se odgovarajući signali moraju postaviti na adresnim ulazima A0 i A1, kao i , . Njihove kombinacije su duplirane u tabeli 3.1.

Način rada kanala VLSI KR580VI53 se programira korištenjem jednostavnih ulazno/izlaznih operacija (tabela 3.1)

VLSI→kanal podataka (čitanje brojača kanala 0)

VLSI→kanal podataka (čitanje brojača kanala 1)

VLSI→kanal podataka (čitanje brojača kanala 2)

Nema operacija. VLSI kanal podataka u stanju visokog otpora

Ban. VLSI kanal podataka u stanju visokog otpora

Svaki od tri VLSI kanala se pojedinačno programira pisanjem kontrolne riječi u registar modova i programiranog broja bajtova u brojač. Format kontrolne riječi prikazan je u tabeli 3.2.

Tabela 3.2 – Format kontrolne riječi

Bit statusne riječi

Svrha

Kod: 0 – binarni, 1 – decimalni

Način rada:

000 – mod 0;

001 – mod 1;

X10 – mod 2;

X11 – mod 3;

100 – mod 4;

101 – način 5.

00 – operacija „zatvaranja“;

01 – samo mali bajt;

10 – samo visoki bajt;

11 – niži bajt, zatim visoki bajt.

Izbor registra načina rada:

00 – kanal 0, 01 – kanal 1,

Da biste inicijalizirali VLSI, prvo morate napisati kontrolnu riječ za kanal 0 i brojač opterećenja 0, zatim napisati kontrolnu riječ za kanal 1 i brojač opterećenja 1. Kontrolna riječ je upisana, za razliku od brojača učitavanja, na jednoj adresi (375h ).

Dakle, treba da upišemo kontrolnu reč na adresi 375h: 00110100b, a zatim na adresi 372h treba da unesemo programirani broj N1 (koeficijent brojanja) u brojač kanala 0. Nakon toga ponovo upisujemo kontrolnu riječ (01110100b) i učitavamo parametar N2 u brojač na adresi 373h. Elementi programa su predstavljeni u Dodatku A.

3.2 Zaključci za Poglavlje 3

Kontrolne funkcije koje kontrolni modul obavlja uključene su u softverski modul za inicijalizaciju.

U ovom poglavlju je pregledano programiranje odabranog LSI-a i razvijen softverski dio modula. Implementirana je softverski kontrolirana razmjena podataka sa razvijenim uređajem. Korisnik unosi frekvenciju i radni ciklus digitalnog signala čije vrijednosti želi primiti na izlazu uređaja. Softverski modul inicijalizira VLSI uređaj u skladu s unesenim vrijednostima i krug počinje generirati digitalni signal.

Zaključak

Kao rezultat kursnog projekta izvršen je pregled postojećih analoga projektovanog uređaja i stečene veštine u projektovanju hardverskih i softverskih modula ISA sistemske magistrale.

Razvijen je i programabilni digitalni generator signala sa sljedećim karakteristikama:

  • maksimalna frekvencija izlaznog signala 2 MHz;

    mogućnost programske promjene frekvencije i radnog ciklusa;

    ulazne adrese: 372h, 373h, 375h.

Također su razvijeni softverski moduli koji osiguravaju rad ploče.

Dizajn je zasnovan na K580VI53 programabilnom tajmerskom čipu, koji radi u režimu generisanja frekvencije. Da bi se osigurala maksimalna izlazna frekvencija od 2 MHz, taktni impulsi SYSCLK signala ISA magistrale (8 MHz) se dijele sa 4. 2 broja se učitavaju u kanal 0 i kanal 1 programabilnog tajmera. Na frekvenciju utječu oba učitana broja (frekvencija od 2 MHz podijeljena je određenim faktorom). Na radni ciklus utiče broj zabeležen u brojaču kanala 1. Dakle, učitavanjem određenih vrednosti u brojače, imamo mogućnost programske promene oblika digitalnog signala.

Dodatak A
(informativno)

Bibliografija

    Tsilker B.Ya., Orlov S.A. Organizacija računara i sistema: Udžbenik za univerzitete. – Sankt Peterburg: Petar, 2004. – 686 str.: ilustr.

    Shabalin L.A. Razvoj hardverskih i softverskih modula za ISA sabirnicu: smjernice za izvođenje nastave. – VyatGU. 2000 – 35 str.

    Blokhin S.M. ISA sabirnica personalnog računara IBM PC/AT - M.: PC "Spline", 1992.

    Shilo V.L. Popularna digitalna mikrokola: Imenik. – M.: Radio i veze, 1987. – 352 str.: ilustr. – (Mass Radio Library. Broj 1111).

    Bychkov E.A. Arhitektura i interfejsi personalnih računara. – M.: Centar „SKS“, 1993.

    Novikov Yu.V., Kalashnikov O.A., Gulyaev S.E. Razvoj interfejs uređaja za personalni računar kao što je IBM PC - M.: Ekom., 1997.

    Zavadsky V.A. Računarska elektronika - K.: VEK, 1996.

    L.A. Maltseva, E.M. Fromberg, V.S. Yampolsky Osnove digitalne tehnologije. – M.: Radio i komunikacije, 1986. 128s.

    Mikroprocesori i mikroprocesorski setovi integrisanih kola: Imenik. U 2 sveska / V. – B. B. Abraytis, N. N. Averyanov, A. I. Belous i drugi; Ed. V. A. Shakhnova. - M.: Radio i komunikacije, 1988. - T.1. - 386 str.: ilustr.

    Mjačev A.A., Ivanov V.V. Interfejsi računarskih sistema zasnovanih na mini- i mikroračunarima / Ed. B.N. Naumova. - M.: Radio i komunikacija, 1986.

Dodatak B
(obavezno)

Spisak skraćenica

CPU - centralna procesorska jedinica

DMA – kontroler direktnog pristupa memoriji

KRP – kontroler regeneracije memorije

KPR – kontroler prekida

PB - permutator bajtova

PGDS – programabilni digitalni generator signala

SP – sistemska memorija

UVV – ulazno/izlazni uređaj

CA – birač adrese

DTE – data terminal oprema

DB – bafer podataka

VLSI – Integrisana kola veoma velikih razmera

RAČUNAR – elektronski računar

PC – personalni elektronski računar

PT – programibilni tajmer

MP – mikroprocesor

FPGA – programibilno logičko integrirano kolo

DMA – direktan pristup memoriji

RAM - memorija sa slučajnim pristupom

UGO – simbolična grafička oznaka

LSI – veliko integrisano kolo

TTL – tranzistorsko-tranzistorska logika

Dodatak B
(obavezno)

Lista programa

#include //standardna I/O biblioteka

#include //postoji prototip funkcije outp().

#define CWT0 0x52 //CWT0 – 00110100b kontrolna riječ za kanal 0

#define CWT1 0x116 //CWT1 – 01110100b kontrolna riječ za kanal1

#define portc 0x375 // adresa za unos kontrolne riječi u registar modova

//prototip inicijalizacijske funkcije

void InitPit (int N1, int N2 // frekvencija, radni ciklus);

//Unošenje traženih parametara (N1, N2)

//Inicijalizacija brojača:

void InitPit(int N1, int N2)

(neoznačeni znak p1,p2,t1,t2;

p1=(N1<<8)>>8;

t1=(N2<<8)>>8;

Primjenjuju se tri I/O sistemski programi...

  • Modul akumulacija za višedimenzionalne probleme Mössbauerove spektrometrije

    Teza >> Fizika

    5.2 Razvoj shematski dijagram modul akumulacija 5.3 Blok dijagram softver algoritam... više . Pun hardver room I softver kompatibilnost mnogih proizvedenih... sistemski autoputevi JE. Kutija sadrži napajanje. Dostupnost gume JE jednostavnost...

  • Razvoj automatizovani sistem upravljanja napajanjem kompresorske stanice Ukhtinskaya

    Teza >> Fizika

    1.1.3 Razvoj integrisani automatizovani... softver softver koji radi sa određenom familijom ploča sa JE-guma... C505 Siemens Sistemski softver odredba - ... moduli: Modul 0 (23CM61) – glavni modul ... hardver I softver sredstva...

  • Razvoj efikasni sistemi informacione bezbednosti u automatizovanim sistemima

    Teza >> Informatika

    Metoda praćenja – sistemski analiza metoda i... u vidu raznih moduli. Kao rezultat... slijedite preporuke ISO/IEC 17799:2002 ... programski-hardver sredstva koja imaju za cilj da obezbede zaštitu informacija tokom rada AS; razvoj ...

  • Razvoj informacioni referentni sistem za obračun vagona na prilaznoj stazi preduzeća

    Teza >> Informatika

    Standard ISO/IEC 12207 (ISO- Međunarodna ... obrada, razvoj strukture softver proizvod (arhitektura softver moduli), ... prelazak na drugu hardver room (softver) platforma, ... Određivanje vrijednosti ciklusa sistemski gume: 8. Određivanje značenja...

  • Tire JE(I industrijski S tandart A rhitecture) je de facto standardna magistrala za personalne računare kao što su IBM PC/AT i kompatibilne. Tire EISA, sa kojim su brojne kompanije proizvodile personalne računare, ustupila je mesto PCI magistrali i sada se retko koristi.

    Glavne razlike između ISA sabirnice IBM PC/AT personalnog računara i njegovog prethodnika, IBM PC/XT sabirnice, su sljedeće:

      AT sabirnica računara omogućava korištenje i 16-bitnih I/O uređaja i 16-bitne memorije na vanjskim pločama;

      16-bitni ciklus pristupa memoriji na vanjskoj ploči može se izvesti bez umetanja taktova čekanja;

      količina direktno adresabilne memorije na vanjskim pločama može doseći 16 MB;

      vanjska ploča može postati master (master) na magistrali i samostalno pristupiti svim resursima kako na magistrali tako i na matičnoj ploči.

    Kada se opisuje magistrala, preporučljivo je zamisliti računar kao što se sastoji od matične ploče i vanjskih ploča koje međusobno komuniciraju i resursima matične ploče putem magistrale. Svi pasivni uređaji (koji ne mogu postati zadaci) na magistrali mogu se podijeliti u dvije grupe - memorijski i ulazno-izlazni uređaji (portovi). Ciklusi pristupa za svaku grupu razlikuju se jedni od drugih i po vremenu i po signalima koji se generiraju na magistrali.

    Čisto uslovno, radi lakšeg razumijevanja funkcioniranja autobusa JE, pretpostavićemo da na matičnoj ploči računara postoje sledeći uređaji koji mogu biti vlasnici (masteri) magistrale: centralna procesorska jedinica (CPU), kontroler direktnog pristupa memoriji (DMA), kontroler regeneracije memorije (MRC). Osim toga, vanjska ploča također može biti master na sabirnici. Prilikom izvršavanja ciklusa pristupa na sabirnici, samo jedan od uređaja može biti glavni. Pogledajmo bliže funkcije ovih uređaja na sabirnici. JE.

    Centralna procesorska jedinica (CPU)- je glavni majstor u autobusu. Po defaultu, CPU će se smatrati glavnim na sabirnici. DMA kontroler, kao i kontroler regeneracije memorije, zabranjuju rad CPU-a tokom svog rada.

    DMA kontroler- ovaj uređaj je povezan sa signalima zahtjeva za DMA način rada i signalima za potvrdu DMA načina rada. Aktivni signal DMA zahtjeva će omogućiti naknadno preuzimanje sabirnice od strane DMA kontrolera za prijenos podataka iz memorije u izlazne portove ili iz ulaznih portova u memoriju.

    Kontroler regeneracije memorije- postaje vlasnik magistrale i generiše signale čitanja adrese i memorije za regeneraciju informacija u dinamičkim memorijskim čipovima kako na matičnoj memoriji tako i na vanjskim pločama.

    Eksterna ploča- komunicira sa drugim uređajima preko konektora na ISA magistrali. Može postati master sabirnice za pristup memoriji ili I/O uređajima.

    Osim toga, postoji niz uređaja na matičnoj ploči računala koji ne mogu biti gospodari na magistrali, ali ipak komuniciraju s njom. Ovo su sljedeći uređaji:

    Sat realnog vremena (brojač vremena)- Ovaj uređaj se sastoji od sata u realnom vremenu koji podržava datum i vrijeme i tajmera, obično zasnovanog na Intel 8254A čipu. Jedan od brojača tajmera ovog čipa generiše impulse u trajanju od 15 mikrosekundi kako bi pokrenuo kontroler za regeneraciju memorije da se regeneriše.

    Cross matične ploče- dio matične ploče koji povezuje bus konektore JE za povezivanje eksternih ploča sa drugim resursima na matičnoj ploči.

    Memorija na matičnoj ploči- Neki ili svi čipovi memorije direktnog pristupa (RAM) koji se koriste za pohranjivanje informacija CPU-a. Dodatni memorijski čipovi se također mogu postaviti na vanjske ploče.

    Kontroler prekida- ovaj uređaj je povezan na linije zahtjeva za prekid na magistrali. Prekidi zahtijevaju dalje održavanje CPU-a.

    I/O uređaji- Neki ili svi I/O uređaji (kao što su paralelni ili serijski portovi) mogu biti smješteni ili na matičnoj ploči ili na vanjskim pločama.

    Zamjena bajtova podataka- Ovaj uređaj vam omogućava razmjenu podataka između 16-bitnih i 8-bitnih uređaja.

    Arhitektura IBM PC/AT personalnog računara sa stanovišta korišćenja ISA magistrale prikazana je na slici.

    Vanjske kartice ugrađene u konektore magistrale mogu biti 8- i/ili 16-bitne. 8-bitna kartica ima samo jedan konektor za interfejs i može da obrađuje samo 8-bitne podatke. 8-bitni slot također ne može biti master magistrale. 16-bitna ploča mora imati dva interfejs konektora - jedan glavni, isti kao kod 8-bitnih ploča, i jedan dodatni. Takva ploča može raditi i sa 8- i 16-bitnim podacima i, osim toga, može biti master na magistrali. Ukupan broj ploča ugrađenih u konektore sabirnice ograničen je i kapacitetom magistrale i dizajnom matične ploče. Tipično, ne možete instalirati više od 8 (pet 16-bitnih i tri 8-bitne) eksternih kartica po magistrali. Ovo ograničenje je takođe uzrokovano relativno malim brojem slobodnih linija DMA zahtjeva i zahtjeva za prekidima dostupnih na magistrali.

    Centralni procesor je po defaultu glavni vlasnik magistrale, DMA kontroler i kontroler za regeneraciju memorije mogu postati glavni na magistrali samo ako prvo onesposobe CPU. Proces inhibicije rada CPU-a sastoji se od generisanja signala zahtjeva za DMA i primanja signala potvrde za DMA.

    Centralni procesor može biti izvor i 16-bitnih i 32-bitnih operacija. Kada je CPU 16-bitni resurs, on može obavljati operacije i na 16-bitnim i na 8-bitnim resursima na magistrali. Kada CPU izvrši naredbu koja radi na 16-bitnim podacima, ako je pristupni resurs 8-bitni, tada se dva ciklusa pristupa obavljaju posebnim hardverom na matičnoj ploči. Ako je CPU 32-bitni, onda u hardveru na matičnoj ploči računara, jedan 32-bitni ciklus rada CPU-a sa eksternim resursom mora biti konvertovan u dva pojedinačna 16-bitna ciklusa pristupa.

    Karakteristike za vanjske ploče. Ako je CPU glavni na sabirnici, tada vanjske kartice mogu raditi samo u memorijskom ili I/O modu.

    Signali koji podržavaju DMA se dovode iz konektora direktno u DMA kontroler, koji se obično pravi na Intel 8237A čipu. Kada bilo koji uređaj zatraži DMA način rada (barem jedan od signala DRQ postane aktivan), DMA kontroler preuzima magistralu od CPU-a. Zatim se emituje odgovarajući signal -DACK znači da je DMA kontroler počeo sa prijenosom podataka. DMA ciklusi se neće izvršiti na magistrali ako je signal -MASTERće biti dozvoljeno sa neke vanjske ploče.

    Ako je DMA zahtjev potreban od strane I/O uređaja, imajte na umu da DMA kanali 0...3 podržavaju prijenos samo 8-bitnih podataka; svi podaci se moraju prenositi samo preko linija SD<7...0> . Zamjena bajtova se u ovom slučaju vrši hardverski na matičnoj ploči u skladu sa signalima SA0 i -SBHE. Takva zamjena može biti potrebna, na primjer, prilikom prijenosa podataka iz visokog bajta 16-bitne memorije na 8-bitni port. DMA kanali 5...7 podržavaju prenos samo 16-bitnih podataka; svi podaci se moraju prenijeti kao 16-bitne linije SD<15...0> . Memorija uključena u rad u DMA modu na ovim kanalima mora biti samo 16-bitna. Zamjena bajtova na matičnoj ploči neće ispraviti neusklađenost veličine podataka.

    NAPOMENA: 8-bitna memorija, sa svoje strane, može prenositi podatke samo u DMA modu na 8-bitne I/O uređaje; 8-bitna memorija se ne može koristiti sa 16-bitnim I/O uređajima.

    PAŽNJA! Kontroler za regeneraciju memorije ne može preuzeti sabirnicu sve dok je DMA kontroler posjeduje. To znači da nijedan DMA ciklus ne bi trebao biti duži od 15 µs. U suprotnom može doći do gubitka informacija u čipovima dinamičke memorije.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Signali za traženje i potvrđivanje DMA moda su povezani na sve eksterne ploče i ovi signali se generiraju konvencionalnim TTL izlazima, tako da sve eksterne ploče moraju koristiti i analizirati različite DMA kanale. U suprotnom može doći do sukoba između vanjskih slotova ili uređaja na matičnoj ploči.

    Eksterni priključci mogu biti ili memorija direktnog pristupa ili I/O uređaji kada su povezani sa DMA kontrolerom.

    Eksterne ploče mogu raditi u 5 različitih načina: bus master, memorija i I/O uređaji s direktnim pristupom, memorija i I/O uređaji, regeneracija memorije ili resetiranje. Ploče mogu podržavati bilo koju kombinaciju prva četiri načina rada; Sve ploče moraju istovremeno poslušati signal za resetiranje.

    Mogu postati samo 16-bitne kartice sa dva interfejs konektora majstori u autobusu. Za snimanje sabirnice, vanjska ploča mora omogućiti signal -DRQ i nakon što je primio signal -DACK sa DDP kontrolera, omogućite signal -MASTER. Ovim je završena procedura hvatanja gume.

    Eksterna ploča, nakon što je uhvatila magistralu, može izvršiti bilo koji pristupni ciklus, baš kao i centralni procesor. Jedino ograničenje je nemogućnost izvođenja DMA ciklusa, budući da su svi signali interfejsa koji kontrolišu rad DMA kontrolera povezani na matičnu ploču i ne mogu ih koristiti DMA kontroler koji se nalazi na spoljnoj ploči. Kada je vanjska ploča glavna na magistrali, DMA kontroler inhibira signal AEN i to omogućava I/O uređajima da normalno dešifriraju adresu i da budu dostupni vanjskoj ploči. Kada je AEN signal zabranjen, DMA ciklusi prijenosa su nemogući (više detalja u odjeljku opisa signala AEN, u Pogl. 3). Osim toga, DMA ciklusi se ne mogu izvršavati na magistrali i zato što je kanal DMA kontrolera kroz koji je sabirnica zauzeta, a ostali kanali DMA kontrolera se ne mogu koristiti dok se ne pusti prethodno zauzeti, tj. sve dok sabirnicu ne pusti vanjska ploča koja ju je uhvatila.

    NAPOMENA: Softver koji podržava rad vanjske ploče kao glavnog sabirnice mora osigurati da se DMA kanali mogu koristiti samo u kaskadnom modu. U suprotnom, vanjska ploča neće moći uhvatiti sabirnicu.

    NAPOMENA: Eksterna kartica započinje svaki pristupni ciklus kao 16-bitni, međutim ako signal -MEM CS16 ili -I/O CS16 neće biti omogućeno, petlja će završiti kao 8-bitna. U ovom slučaju, zamjena bajtova na matičnoj ploči će odrediti koje linije podataka ( SD<15...8> ili SD<8...0> ) bajt informacija se prenosi na osnovu analize signala -SBHE I SA0.

    PAŽNJA! Eksterna ploča koja je uhvatila sabirnicu mora generirati signal ne manje od svakih 15 μs -OSVJEŽITI da zatražite od kontrolera regeneracije da regenerira memoriju. Prilikom izvođenja ciklusa regeneracije memorije, kontroler regeneracije generiše adresne i komandne signale i analizira signal I/O CH RDY, ali vanjska ploča koja je generirala signal -OSVJEŽITI, po završetku ciklusa regeneracije, uklanja ovaj signal i nastavlja da ostane master na sabirnici. Ako je potrebno, izvršite nekoliko ciklusa regeneracije signala -OSVJEŽITI može držati vanjska ploča za cijelo vrijeme potrebnog broja ciklusa regeneracije.

    Kontroler za regeneraciju memorije ne može zauzeti samu sabirnicu sve dok DMA kontroler (naime, preko njega vanjska ploča postane master na sabirnici) ne otpusti je za vrijeme trajanja regeneracije signalom -OSVJEŽITI.

    Eksterna ploča može raditi u DMA modu samo ako je DMA kontroler glavni na magistrali. U DMA modu, podaci se uvijek prenose između I/O uređaja i memorije na vanjskoj ploči. U direktnom I/O načinu, podaci se prenose između memorije i I/O uređaja na vanjskoj ploči. Eksterna ploča koja na magistrali odgovara kao 8- ili 16-bitni uređaj mora koristiti 8- ili 16-bitne DMA kontrolerske kanale. U tabeli Slika 2.2 prikazuje stanje signala na magistrali za DMA mod.

    PAŽNJA! Postoje neka posebna razmatranja na koja biste trebali obratiti pažnju kada izvodite cikluse prijenosa podataka između 8-bitnih I/O uređaja i 16-bitne memorije na vanjskoj ploči. Prvo, vanjska ploča mora analizirati signale -SBHE I SA0 da ispravno identifikuju prenete podatke.

    Drugo, kada se piše u airwave iz memorije na vanjskoj ploči, zamjena bajtova na matičnoj ploči će odrediti koja polovina sabirnice podataka ( SD<15...8> ili SD<7...0> ) bajt treba poslati; Nakon analize -SBHE i SA0, vanjska ploča mora odrediti na koju polovinu sabirnice podataka poslati bajt podataka. Treće, kada čita zračni talas u memoriju na vanjskoj ploči, zamjenjivač bajtova također šalje bajt podataka u memoriju bilo preko više polovine magistrale podataka SD<15...8> , ili od strane mlađe polovine SD<7...0> . Eksterna signalna ploča -SBHE I SA0 mora odrediti kada će prenijeti svoje izlaze u treće stanje na donjoj polovini magistrale podataka SD<7...0> kako biste izbjegli sudare na gumama.

    Eksterna ploča može razmjenjivati ​​16-bitnu memoriju u DMA načinu rada i sa 8-bitnim I/O uređajima i sa 16-bitnim uređajima. Ali, ako je vanjska ploča 8-bitna memorija, onda u DMA modu može komunicirati samo sa 8-bitnim I/O uređajima. Još jedna karakteristika se primjenjuje kada DMA kontroler zapisuje podatke na 8-bitni izlazni uređaj na vanjskoj ploči iz 16-bitne memorije. Ako je takva eksterna kartica instalirana u 16-bitni slot i može raditi u 16-bitnom načinu rada, ona mora podržavati visoku polovinu sabirnice podataka za ovaj slučaj SD<15...8> u trećem stanju kako bi se izbjegao sudar signala na sabirnici.

    PAŽNJA! Kada je DMA kontroler glavni na sabirnici, on zanemaruje -0WS signal, pa ako se vanjska ploča koristi kao 16-bitna memorija i komunikaciju s njom obavlja DMA kontroler, upotreba brzih memorijskih čipova u takvom tabla nema smisla.

    Normalan pristup vanjskoj ploči kao memoriji ili I/O uređaju. Eksterna ploča postaje normalna memorija ili I/O resurs ako je master sabirnice CPU ili druga vanjska ploča.

    PAŽNJA! Postoje karakteristike ove upotrebe eksterne kartice ako je instalirana u slot i učestvuje u razmeni podataka kao 8-bitna memorija ili vazdušni talas tokom čitavog ciklusa pristupa. Prilikom čitanja podataka u takvu eksternu ploču, bajt shuffler će zamijeniti podatke između magistrala SD<15...8> ili SD<7...0> za pravilan prijem podataka od strane vanjske ploče. Eksterna ploča mora podržavati svoje izlaze SD<15...8> u trećem stanju, jer je u suprotnom neizbježan sudar signala na sabirnici podataka.

    PAŽNJA! Kada neke vanjske ploče postanu master na sabirnici, mogu ignorirati signal I/O CH RDY ili -0WS i izvedite ciklus pristupa kao ciklus pristupa 8- ili 16-bitnoj memoriji. Ali sve vanjske ploče moraju se vratiti glavnom na sabirnici JE Ovi signali su opcioni jer ako je CPU glavni na magistrali, on koristi ove signale da odredi trajanje ciklusa pristupa.

    Sve eksterne ploče su u režimu resetovanja kada je signal omogućen RESET DRV; inače je ovaj način rada nemoguć. Svi izlazi u tri stanja na ploči moraju biti u trećem stanju i svi izlazi otvorenog kolektora moraju biti u logičkom jednom stanju najmanje 500 ns nakon što je signal omogućen. RESET DRV. Sve eksterne kartice moraju završiti svoju inicijalizaciju unutar 1 ms od aktiviranja signala RESET DRV i budite spremni da izvršite pristupne cikluse na sabirnici. Bilo kakve operacije na sabirnici su moguće samo nakon što je signal onemogućen RESET DRV.

    Kontroler regeneracije memorije izvodi cikluse čitanja memorije na posebnim adresama na matičnoj i vanjskim pločama kako bi regenerirao informacije u dinamičkim memorijskim čipovima. Svakih 15 µs kontroler pokušava uhvatiti sabirnicu za pokretanje ciklusa regeneracije. Ako je u ovom trenutku glavni na sabirnici centralni procesor, onda on oslobađa sabirnicu za kontroler regeneracije. Ako u ovom trenutku sabirnicu uhvati vanjska ploča, kontroler regeneracije će izvršiti ciklus regeneracije samo kada vanjska ploča generiše signal -OSVJEŽITI. Ako je u ovom trenutku glavni na sabirnici bio DMA kontroler, tada se ciklus regeneracije ne može završiti dok se ne oslobodi sabirnica.

    Kada se izvrši ciklus regeneracije, regulator regeneracije generiše SA adresne signale<7...0>sa jednom od 256 mogućih adresa regeneracije. Ostale adresne linije su nedefinirane i mogu biti u trećem stanju. Ovaj ciklus može biti odgođen I/O CH RDY signalom sa omogućenim signalima -SMEMR I -MEMR.

    PAŽNJA! Ciklusi regeneracije moraju se izvoditi svakih 15 µs da bi se nabrojilo svih 256 adresa u 4 ms. Ako ovaj uvjet nije ispunjen, podaci pohranjeni na hrpi mogu biti izgubljeni.

    Ovo poglavlje govori o karakteristikama sabirnice koje su nezavisne od tipa uređaja koji zauzima sabirnicu.

    Maksimalni memorijski adresni prostor podržan od strane magistrale JE, 16 MB (24 adresne linije), ali ne podržavaju svi slotovi u potpunosti ovaj adresni prostor. Kada master magistrale pristupi memoriji na matičnoj ploči ili memoriji instaliranoj u utoru, mora omogućiti signale -MEMR ili -MEMW; Hardver na matičnoj ploči dodatno dozvoljava signale -SMEMR I -SMEMW, ako je tražena adresa unutar prvog megabajta adresnog prostora. Samo linije su povezane na 8-bitne slotove -SMEMR I -SMEMR, SD<7...0> I S.A.<19...0> ; stoga, eksterne kartice instalirane u 8-bitne slotove mogu biti samo 8-bitni I/O uređaji ili 8-bitna memorija u prvom megabajtu adresnog prostora. Eksterne kartice instalirane u 8/16-bitne slotove prihvataju sve komandne signale, adrese i podatke; mogu biti 8- ili 16-bitni, a memorijski adresni prostor na njima može biti bilo šta unutar 16 MB. Ciklus pristupa takvim eksternim karticama završava se kao 16-bitni ako kartica omogući signal -I/O CS16 ili -MEM CS16.

    NAPOMENA: Memorija na matičnoj ploči ili vanjskoj kartici smatra se 16-bitnim resursom samo ako je signal omogućen -MEM CS16. Ovaj signal se generiše iz adresnih signala L.A.<23...17> ; stoga se 16-bitnoj memoriji može pristupiti samo u blokovima od 128 KB; unutar takvog bloka, memorija ne može biti djelomično 8-bitna, a djelomično 16-bitna, jer je nemoguće jedinstveno generirati signal pristupanjem manjem bloku -MEM CS16. Dubina bita unutar takvog bloka mora biti ista kada se pristupa bilo kojoj adresi unutar 128 KB.

    PAŽNJA! Dinamički memorijski čipovi zahtijevaju cikluse osvježavanja svakih 15 µs. Ako se ciklusi osvježavanja izvode rjeđe od 15 µs, podaci u memoriji mogu biti izgubljeni.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Dinamička memorija na matičnoj ploči može imati dva tipa organizacije - 16-bitnu ili 32-bitnu. Ali kapacitet memorije na matičnoj ploči uzima u obzir samo centralni procesor za vanjske ploče, dinamička memorija na matičnoj ploči je uvijek samo 16-bitna. ROM na matičnoj ploči koji sadrži BIOS (bazni ulazno/izlazni sistem) je također uvijek 16-bitni.

    Maksimalni adresni prostor za I/O uređaje koje podržava ISA sabirnica je 64 KB (16 adresnih linija). Svi slotovi podržavaju 16 adresnih linija. Prvih 256 adresa rezervisano je za uređaje koji se po pravilu nalaze na matičnoj ploči - registre DMA kontrolera, kontrolera prekida, sata realnog vremena, tajmer-brojača i drugih uređaja potrebnih za AT kompatibilnost različitih računara.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Uprkos činjenici da je svih 16 adresnih signala dostupno za odabir vazdušne adrese, tradicionalno se samo prvih 10 bitova adrese koristilo za vazdušne adrese u IBM PC/XT/AT seriji računara. To znači da će adrese iz sljedećih blokova kilobajta biti dekodirane na isti način kao i adrese u prvom kilobajtu zračnih adresa. Stoga, za novorazvijene eksterne ploče treba koristiti „windows“ u trenutnoj distribuciji adresa standardnih eternih talasa za IBM PC/AT računare. Da biste povećali broj korištenih airwave adresa (ako je potrebno), možete koristiti adresni prostor odabranog prozora sa pomakom od 1 KB ili višestrukim. Očigledno, vanjska ploča u ovom slučaju mora dekodirati više od 10 adresnih linija.

    Linije zahtjeva za prekidom su direktno povezane na kontrolere prekida tipa Intel 8259A. Kontrolor prekida će odgovoriti na zahtjev na takvoj liniji ako signal na njemu ide s niskog na visoki. Tire JE nema linije koje potvrđuju prijem zahtjeva za prekid, tako da uređaj koji zahtijeva prekid mora sam odrediti reakcijom CPU-a da li je njegov zahtjev primljen.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Linije zahtjeva za prekidom su povezane sa svim slotovima i obrađuju se od strane kontrolera prekida na rastućoj ivici signala. Prije instaliranja nove vanjske ploče, ako ona koristi kontroler prekida u svom radu, trebate utvrditi da li postoji slobodna linija zahtjeva za prekid i koristiti je za novu vanjsku ploču. Ako ovaj uslov nije ispunjen, može doći do konfliktnih situacija na autobusu.

    CPU ili eksterna ploča mogu izvoditi 8-bitne ili 16-bitne cikluse pristupa, pri čemu svi ciklusi uvijek počinju kao 16-bitni i završavaju kao 8-bitni ili 16-bitni. Ciklus pristupa će biti završen kao 8-bitni ako uređaj kojem se pristupa blokira signal -I/O CS16 ili -MEM CS16.

    Zamjena bajtova se uvijek nalazi na matičnoj ploči. Njegov zadatak je da precizno uskladi veličinu podataka koji se razmjenjuju između uređaja. Na sl. Slika 3.1 prikazuje mjesto zamjene bajtova prilikom prijenosa podataka između mastera i resursa kojem se pristupa. U tabeli 3.1 sumira sve informacije o zamjeni bajtova tokom pristupnih ciklusa. Bajtovi se zamjenjuju sa magistrale SD<15...0> (HIGH BYTE - visoki bajt) uključeno SD<7...0> (LOW BYTE - niski bajt) ili obrnuto. U tabeli prenos bajtova sa SD magistrale<15...0>do SD<7...0>označeno kao H > L, obrnuto - L< H. LL означает, что байт по младшей половине шины данных не переставляется, HH - что байт по старшей половине шины не переставляется. HH/LL - и старший и младший байт передаются каждый по своей половине шины данных и не переставляются.

    Tabela 3.1.

    Master autobusa

    Resursu se pristupa

    Završavanje ciklusa

    Veličina podataka

    Veličina podataka

    Veličina podataka

    Ruta čitati pisati

    Na sl. Slika 3.2 prikazuje lokaciju zamjene bajtova za cikluse prijenosa podataka u DMA modu. U tabeli 3.2 sumira sve informacije o zamjeni bajtova tokom DMA ciklusa. Bajtovi se zamjenjuju sa magistrale SD<15...0> (HIGH BYTE) uključeno SD<7...0> (LOW BYTE) ili obrnuto. U tabeli prenesite bajt sa magistrale SD<15...0> on SD<7...0> označeno kao H > L, obrnuto - L< H. LL означает, что байт по младшей половине шины данных не переставляется, HH - что байт по старшей половине шины не переставляется. HH/LL - и старший и младший байт передаются каждый по своей половине шины данных и не переставляются.

    Tabela 3.2.

    I/O uređaj

    DMA kontroler

    Završavanje ciklusa

    Veličina podataka

    Veličina podataka

    -MEM CS16

    Veličina podataka

    čitaj piši

    Zabranjeno

    Ovo poglavlje opisuje sve signale na ISA sabirnici. Za bolje razumijevanje rada magistrale, preporučljivo je podijeliti sve signale u 7 grupa: ADRESE, PODACI, SIGNALI ZA TAKOVANJE, KOMANDNI SIGNALI, SIGNALI DMA MODA, SIGNALI CENTRALNE KONTROLE, SIGNALI PREKIDA, NAPAJANJE. Informacije o smjeru signala (ulazni, izlazni ili dvosmjerni) se daju u odnosu na master na sabirnici.

    Grupa adresnih signala uključuje adrese koje generira trenutni master na sabirnici. Postoje dvije vrste adresnih signala na ISA magistrali, S.A.<19...0> I L.A.<23...17> .

    S.A.<19...0>

    Adresni signali ovog tipa se dovode u sabirnicu iz adresnih registara u kojima je adresa zaključana. Signali S.A.<19...0> dozvoli pristup memoriji samo u najnižem megabajtu adresnog prostora. Kada pristupate I/O uređaju, samo signalizira S.A.<15...0> S.A.<19...16> nedefinisano.

    Tokom ciklusa regeneracije adrese, samo signali S.A.<7...0> imaju pravo značenje i stanje signala S.A.<19...8> undefined i ovi pinovi moraju biti u trećem stanju za sve uređaje na magistrali.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Eksterna ploča, koja je postala master na sabirnici, mora dozvoliti signal -OSVJEŽITI da bi regenerisala memoriju, u ovom slučaju eksterna ploča mora da prenese svoje drajvere signala izlazne adrese u treće stanje.

    L.A.<23...17>

    Signali ovog tipa ulaze u sabirnicu bez zabravljivanja u registrima. Kada je centralni procesor glavni na magistrali, tada su vrijednosti signala na linijama L.A.<23...17> tačno tokom generisanja signala BALE i mogu imati proizvoljnu vrijednost na kraju ciklusa pristupa. Ako je master na sabirnici DMA kontroler, signali L.A.<23...17> istina prije nego što signal počne -MEMR ili -MEMW i čuvaju se do kraja ciklusa. Prilikom izvođenja ciklusa pristupa memoriji, signalizira L.A.<23...17> su uvijek istiniti, a kada se pristupa I/O uređajima, ovi signali su na logičkom nivou od "0".

    Prilikom izvođenja ciklusa regeneracije, stanje linija L.A.<23...17> je nedefinisan i svi resursi na magistrali moraju održavati svoje izlaze na ovim linijama u trećem stanju.

    PREPORUKE: Za signale "zatvaranja". L.A. Treba koristiti samo registre sa potencijalnim ulazom. To je zato što će se u ovom slučaju nova prava adresa pojaviti na izlazu registra na početku signala BALE(a ne na njenoj padajućoj ivici) i, osim toga, tokom ciklusa pristupa memoriji od strane nekog drugog mastera, a ne CPU, signal BALE se održava u logičkom "1" stanju i registar sa potencijalnim ulazom će jednostavno postati repetitor signala L.A.(što je potrebno u ovom slučaju).

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je vanjska ploča master na sabirnici, onda signali L.A.<23...17> mora biti istinito prije nego što signal počne -MEMR ili -MEMW i tako ostati do kraja ciklusa. -OSVJEŽITI(treba imati na umu da eksterna ploča to može učiniti samo ako je master na sabirnici), tada će kontroler regeneracije generirati adresne signale, tako da bi vanjska ploča trebala svoje adresne izlaze prebaciti u treće stanje.

    Signal -SBHE(System Bus High Enable - Omogućavanje visokog bajta na sistemskoj sabirnici) je omogućeno od strane centralnog procesora da ukaže svim resursima na sabirnici da su linije SD<15...8> šalje se bajt podataka. Signali -SBHE I SA0 koriste se za određivanje koji se bajt šalje na koju polovinu sabirnice podataka (u skladu sa tablicom 3.1).

    Signal -SBHE nije generiran od strane kontrolera regeneracije kada zahvaća sabirnicu, jer nema preuređivanja bajtova i nema stvarnog čitanja podataka.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako vanjska ploča postane master na sabirnici, tada mora proizvesti signal -SBHE isto kao i centralni procesor.

    Ako vanjska ploča, koja je master na sabirnici, generiše signal -OSVJEŽITI, zatim njegov izlazni signal -SBHE moraju biti prebačeni u treću državu.

    BALE

    Signal BALE(Omogući blokadu adrese sabirnice - Dozvola za "zaključavanje" adrese na sabirnici) je stroboskop za pisanje adresa duž linija L.A.<23...17> i govori resursima na magistrali da je adresa istinita i da se može zakačiti u registar. Ovaj signal također obavještava resurse na magistrali da signali S.A.<19...0> I -SBHE su istinite.

    Kada se sabirnica uhvati od strane DMA kontrolera, signal BALE je uvijek jednak logičkoj "1" (proizveden na matičnoj ploči), budući da su signali L.A.<23...17> I S.A.<19...0> true prije nego se generiraju komandni signali. Ako regulator regeneracije postane glavni na sabirnici, onda na liniji BALE logic one level je također podržan od adresnih signala S.A.<19...0> true prije početka komandnih signala.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Kada je sabirnica zarobljena od strane vanjske ploče, signal BALE održava se od strane matične ploče u logičkom stanju "1" za cijelo vrijeme snimanja magistrale. Adresni signali L.A.<23...17> I S.A.<19...0> mora biti istinito tokom vremena kada ploča omogućava komandne signale.

    Ako je centralni procesor glavni na magistrali i izvodi ciklus pristupa resursima na vanjskoj ploči, tada signali L.A.<23...17> su istiniti samo za kratko vrijeme, tako da se signal BALE mora koristiti za "zakopčavanje" adrese u registar. Kada sabirnicu uhvati bilo koji uređaj osim CPU-a, linija BALE se održava na logičkom nivou "1".

    AEN

    Signal AEN Address Enable je omogućeno kada DMA kontroler postane glavni na sabirnici i informiše sve resurse na sabirnici da se DMA ciklusi izvode na magistrali. Dozvoljeni signal AEN također obavještava sve I/O uređaje da je DMA kontroler postavio memorijsku adresu i da bi I/O uređaj trebao biti onemogućen za vrijeme trajanja signala AEN dekodiranje adrese.

    Ovaj signal je onemogućen ako je master na sabirnici centralni procesor ili kontroler regeneracije.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako vanjska ploča generiše -MASTER signal dok izvodi proceduru akvizicije sabirnice, DMA kontroler onemogućuje AEN signal kako bi se omogućio pristup vanjskoj ploči I/O uređajima.

    SD<7...0> I SD<15...8>

    Linije SD<7...0> I SD<15...8> , u pravilu se naziva i sabirnica podataka, i to duž linije SD15 Najznačajniji bit se prenosi i duž linije SD0- najmanje značajan dio. SD linije<7...0>- niska polovina sabirnice podataka, SD<15...0> - gornju polovinu sabirnice podataka. Svi 8-bitni resursi mogu komunicirati samo na donjoj polovini magistrale podataka. Razmjena podataka između 16-bitnog mastera na magistrali i 8-bitnog resursa je podržana zamjenom bajtova na matičnoj ploči (tabela 3.1 i slika 3.1 ilustruju njen rad).

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je signal - OSVJEŽITI Omogućeno, tada eksterne ploče moraju prenijeti svoje izlaze na sabirnici podataka u treće stanje, budući da nema prijenosa podataka tokom ciklusa regeneracije memorije.

    Signali u ovoj grupi kontrolišu i trajanje i tipove pristupnih ciklusa koji se izvode na magistrali. Grupa se sastoji od šest komandnih signala, dva signala spremnosti i tri signala koji određuju veličinu i tip ciklusa.

    Komandni signali određuju tip uređaja (memorija ili zračni talas) i smjer prijenosa (pisanje ili čitanje).

    Signali spremnosti kontroliraju trajanje ciklusa pristupa, skraćujući ga ili, obrnuto, produžujući ga.

    -MEMR I -SMEMR

    Signal -MEMR(Memory Read) omogućava master na sabirnici da čita podatke iz memorije na adresi određenoj signalima duž linija L.A.<23...17> I S.A.<19...0> . Signal -SMEMR(System Memory Read) je funkcionalno identičan -MEMR, osim što je signal -SMEMR omogućeno prilikom čitanja memorije unutar prvog megabajta adresnog prostora. Signal -SMEMR -MEMR -MEMR za 10 nanosekundi ili manje.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    -MEMR, od signala -SMEMR može riješiti samo matična ploča kada čita iz memorije u prvom megabajtu adresnog prostora. Ako vanjska ploča dozvoljava signal -OSVJEŽITI -MEMR u treće stanje, dakle nakon što je signal razriješen -OSVJEŽITI regulator regeneracije će omogućiti ovaj signal.

    -MEMW I -SMEMW

    Signal -MEMW(Memory Write) omogućava master na sabirnici da upiše podatke u memoriju na adresi koju određuju signali duž linija L.A.<23...17> I S.A.<19...0> . Signal -SMEMW(System Memory Write) je funkcionalno identičan -MEMW, osim što je signal -SMEMW omogućeno prilikom pisanja u memoriju unutar prvog megabajta adresnog prostora. Signal -SMEMW generiran na matičnoj ploči iz signala -MEMW i stoga kasni u odnosu na signal -MEMR za 10 ns ili manje.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako vanjska ploča postane master na sabirnici, ona može samo omogućiti signal -MEMW, od signala -SMEMW može riješiti samo matična ploča kada se upisuje u memoriju u prvom megabajtu adresnog prostora. Ako vanjska ploča dozvoljava signal -OSVJEŽITI, tada mora prebaciti svoj izlaz u skladu sa signalom -MEMW u treću državu.

    -I/OR

    Signal -I/OR(I/O Read - Čitanje ulazno/izlaznog uređaja) omogućava master na sabirnici da čita podatke sa ulazno/izlaznog uređaja na adresi određenoj signalima S.A.<15...0> .

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako vanjska ploča dozvoljava signal -OSVJEŽITI, tada mora prebaciti svoj izlaz u skladu sa signalom -I/OR u treću državu.

    -I/OW

    Signal -I/OW(I/O Write - Upisivanje u I/O uređaje) omogućava master na sabirnici da upiše podatke na I/O uređaj na adresi određenoj signalima S.A.<15...0> .

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako vanjska ploča dozvoljava signal -OSVJEŽITI, tada mora prebaciti svoj izlaz u skladu sa signalom -IOW u treću državu.

    -MEM CS16

    Signal -MEM CS16 Odabir ciklusa memorije omogućava 16-bitna memorija da kaže masteru sabirnice da je memorija kojoj pristupa 16-bitna i da bi trebala izvršiti 16-bitni ciklus pristupa. Ako je ovaj signal onemogućen, tada se na magistrali može izvesti samo 8-bitni ciklus pristupa. Memorija kojoj se pristupa mora generirati ovaj signal iz adresnih signala L.A.<23...17> .

    -MEM CS16

    PREPORUKE: Dekodiranje signala L.A. na vanjskoj 16-bitnoj memorijskoj ploči, signal bi trebao biti omogućen -MEM CS16, ako je adresa postavljena na magistrali adresa ove eksterne ploče. Pošto je ovaj signal fiksiran na matičnoj ploči, po pravilu, na opadajućoj ivici signala BALE, zatim kolo za dekodiranje LA signala i naknadno formiranje -MEM CS16 mora imati minimalno moguće kašnjenje (za računare sa taktom procesora od 20 MHz, ne više od 20 ns).

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je vanjska ploča 16-bitna memorija, onda mora o tome obavijestiti mastera na sabirnici tako što će omogućiti signal -MEM CS16.

    S.A.<15...0> i neki I/O uređaj će nasumično omogućiti signal prilikom dekodiranja ove adrese -I/O CS16, onda bi vanjska ploča to trebala zanemariti tokom ciklusa pristupa memoriji.

    -I/O CS16

    Signal -I/O CS16(Odabir I/O ciklusa) je omogućen od 16-bitnog I/O-a da obavijesti mastera sabirnice da I/O kojem pristupa ima 16-bitnu organizaciju i da bi trebao izvršiti 16-bitni ciklus pristupa. Ako je ovaj signal onemogućen, tada se na magistrali može izvesti samo 8-bitni vazdušni pristupni ciklus. Vazdušni uređaj na kojem se izvodi pristupni ciklus mora generirati ovaj signal iz adresnih signala S.A.<15...0> .

    NAPOMENA: DMA kontroler i kontroler regeneracije zanemaruju signal -I/O CS16 prilikom izvođenja DAP-a i ciklusa regeneracije memorije.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je vanjska ploča 16-bitni uređaj u zraku, onda mora o tome obavijestiti mastera na sabirnici tako što će omogućiti signal -I/O CS16.

    Ako eksterna ploča, kao glavni kontroler na magistrali, generiše adresne signale L.A.<23...17> a neki memorijski uređaj će nasumično omogućiti signal prilikom dekodiranja ove adrese -MEM CS16, onda bi vanjska ploča to trebala zanemariti tokom ciklusa pristupa vazdušnom uređaju.

    I/O CH RDY

    Signal I/O CH RDY(I/O Channel Ready) je asinhroni signal koji generira uređaj kojem se pristupa na magistrali. Ako je ovaj signal onemogućen, ciklus pristupa će se produžiti, jer će mu se dodati ciklusi čekanja za vrijeme trajanja zabrane. Kada je master na sabirnici centralni procesor ili eksterna ploča, tada je svaki ciklus čekanja polovina perioda frekvencije SYSCLK(za frekvenciju takta SYSCLK=8 MHz vrijeme ciklusa čekanja - 62,5 ns). Ako je master na sabirnici DDP kontroler, tada je svaki ciklus čekanja jedan period SYSCLK(Za SYSCLK=8 MHz - 125 ns). Prilikom pristupa memoriji na vanjskoj ploči, CPU uvijek automatski ubacuje jedan ciklus čekanja (ako je signal -0WS onemogućeno), stoga, ako vanjska ploča ima dovoljno vremena ciklusa sa jednim ciklusom čekanja, tada onemogućite signal I/O CH RDY nije potrebno.

    NAPOMENA: Prilikom izvršavanja DMA ciklusa, I/O uređaji ne bi trebali generirati ovaj signal, jer I/O uređaj omogućava DRQ signal samo nakon što istinski podaci mogu biti primljeni ili poslati od strane I/O uređaja i potrebna je dodatna kontrola vremena ciklusa od signal. I/O CH RDY br. Samo memorijski uređaji tokom DMA ciklusa mogu omogućiti ovaj signal.

    UPOZORENJE: Signal I/O CH RDY ne može se onemogućiti na vrijeme duže od 15 μs, jer ako se prekrši ovaj zahtjev, moguć je gubitak podataka u dinamičkim memorijskim čipovima.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je eksterna ploča glavna na magistrali, onda ona mora primiti i analizirati signal I/O CH RDY kada obavlja cikluse pristupa drugim resursima. Kada eksterna ploča radi u drugim režimima, mora omogućiti ovaj signal kada je spremna da završi ciklus.

    I/O CH RDY i izvodi sve cikluse pristupa kao normalne 8- ili 16-bitne cikluse pristupa memoriji. Zbog toga, prilikom ugradnje vanjske ploče u računar, što zahtijeva produženje ciklusa pristupa signalu I/O CH RDY, svakako treba da se uverite da u vašem računaru nema tako pogrešno dizajnirane eksterne ploče.

    -0WS

    Signal -0WS(0 stanja čekanja - 0 ciklusa čekanja) je jedini signal na cijeloj sabirnici koji zahtijeva sinhronizaciju sa frekvencijom kada ga prima master na sabirnici SYSCLK. Omogućava se tako što resursu pristupa CPU ili eksterna ploča i obavještava mastera na magistrali da pristupni ciklus mora biti završen bez umetanja sata čekanja.

    NAPOMENA: Iako je ovaj signal priključen na 8-bitni slot za karticu, ne može ga koristiti 8-bitni resurs. Može se koristiti samo kada se pristupa 16-bitnoj memoriji instaliranoj u slot kada je CPU ili eksterna ploča glavni na magistrali. Ovaj signal se zanemaruje kada se pristupa ventilatoru zraka ili kada je DMA kontroler ili kontroler regeneracije glavni na sabirnici.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je vanjska ploča glavna na magistrali, onda mora primiti signal -0WS iz resursa kojima pristupa i izvodi cikluse pristupa na tim resursima bez dodatnih ciklusa čekanja. Kada je vanjska ploča 16-bitna memorija, tada mora omogućiti signal -0WS, ako vam brzina ove memorije omogućava izvođenje ciklusa pristupa bez umetanja dodatnog ciklusa čekanja.

    PAŽNJA! Nažalost, neke vanjske ploče, nakon što su postale master na sabirnici, ignoriraju signal -0WS i izvodi sve cikluse pristupa kao normalne 8- ili 16-bitne cikluse pristupa memoriji.

    -OSVJEŽITI

    Signal -OSVJEŽITI(Osvježavanje) je omogućeno od strane kontrolera osvježavanja kako bi obavijestio sve uređaje na magistrali da su ciklusi osvježavanja memorije u toku.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je vanjska ploča glavna na magistrali, onda mora omogućiti signal -OSVJEŽITI za zahtjev za regeneraciju memorije. U ovom slučaju, ciklus regeneracije će se izvršiti iako kontroler regeneracije nije glavni na sabirnici.

    Grupu centralnih upravljačkih signala čine signali različitih frekvencija, upravljački signali i greške.

    Signal -MASTER(Master) mora biti generisan samo od strane vanjske ploče koja želi postati master na sabirnici.

    PAŽNJA! Ako je signal -MASTER omogućeno na vrijeme duže od 15 µs, tada vanjska ploča mora zatražiti ciklus osvježavanja memorije omogućavanjem signala -OSVJEŽITI.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Signal -MASTER dozvoljeno od strane eksterne ploče koja postaje master na sabirnici tek nakon što primi odgovarajući signal -DACK sa DDP kontrolera. Nakon signala -MASTERće biti omogućeno, vanjska ploča mora čekati najmanje jedan period frekvencije SYSCLK, prije početka generiranja signala adrese i podataka i najmanje dvije tačke SYSCLK prije generiranja komandnih signala.

    -I/O CH CK

    Signal -I/O CH CK(Provjera I/O kanala) može se riješiti bilo kojim resursom na sabirnici kao fatalna poruka o grešci koja se ne može ispraviti. Tipičan primjer takve greške je greška pariteta tokom pristupa memoriji. Signal - I/O CH CK mora biti omogućen za vrijeme od najmanje 15 ns. Ako je u vrijeme generiranja ovog signala glavni na sabirnici bio DMA kontroler ili kontroler regeneracije, tada je signal -I/O CH CK biće upisan u registar na matičnoj ploči i obrađen tek nakon što centralni procesor postane glavni na magistrali.

    Ovaj signal je obično povezan sa nemaskiranim prekidnim ulazom CPU-a i njegovo generisanje uzrokuje da računar zaustavi normalan rad.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je signal -I/O CH CK je omogućen u trenutku kada je master na magistrali eksterna ploča, upisuje se u registar na matičnoj ploči i biće obrađen tek nakon što sabirnicu uhvati centralni procesor.

    RESET DRV

    Signal RESET DRV(Reset Driver) generira centralni procesor za početno postavljanje svih pristupnih resursa na magistrali nakon što se napajanje uključi ili padne napon. Minimalno vrijeme rezolucije za ovaj signal je 1 ms.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Eksterne ploče moraju prebaciti svoje izlaze u treće stanje za cijelo vrijeme generiranja ovog signala.

    SYSCLK

    Signal SYSCLK(System Clock - sistemska frekvencija) u ovoj knjizi se pretpostavlja da je 8 MHz, iako je, po pravilu, ova frekvencija ista kao i frekvencija takta centralnog procesora na matičnoj ploči, ali sa nivoom od 50% (po trajanju). logičkog "1". Svi ciklusi sabirnice su proporcionalni SYSCLK, ali svi signali na autobusu osim -0WS, nije sinhronizirano sa SYSCLK.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Kada je vanjska ploča master sabirnice, može se koristiti SYSCLK za postavljanje dužine ciklusa, ali osim generiranja -0WS, može se koristiti bilo koji signal sinhronizacije.

    O.S.C.

    Signal O.S.C. generira matična ploča uvijek na fiksnoj frekvenciji od 14,3818 MHz sa 45-55% (u trajanju) na logičkom nivou “1”. Signal O.S.C. nije sinhronizovan ni sa jednim SYSCLK sa bilo kojim drugim signalom na sabirnici i stoga se ne može koristiti za aplikacije koje zahtijevaju sinhronizaciju s drugim signalima. Istorijski gledano, činilo se da ovaj signal podržava prve kontrolere monitora u boji za personalne računare IBM PC serije. Ovaj signal je pogodan za korištenje s vanjskim karticama jer je isti za sve IBM PC/AT kompatibilne modele računala.

    Grupa signala prekida se koristi za traženje prekida od CPU-a.

    NAPOMENA: Signali zahtjeva za prekidom se obično spajaju na kontroler prekida tipa Intel 8259A. Uprkos činjenici da svaki master na magistrali ima pristup kontrolerima prekida (kao UVV), radi kompatibilnosti softvera samo centralni procesor može servisirati kontroler prekida.

    Frekvencija jednaka 14,3818 MHz<15,14,12,11,10> IRQ<9,7...3>

    Prekid mogu zahtijevati resursi i na matičnoj i na vanjskim pločama rješavanjem odgovarajućeg signala IRQ. Signal mora ostati uključen sve dok CPU ne potvrdi prekid, što obično uključuje CPU koji pristupa resursu koji je zatražio prekid.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Zahtjev za prekid se upisuje u okidač u kontroleru prekida na rastućoj ivici signala zahtjeva za prekidom i mora biti generiran od strane mikro kola sa konvencionalnim TTL izlazima. Stoga, kada birate liniju zahtjeva za prekid za vašu vanjsku karticu, trebate osigurati da ovu liniju ne zauzima nijedna druga vanjska kartica.

    Ovi signali podržavaju cikluse prenosa podataka tokom direktnog pristupa memoriji.

    NAPOMENA: DMA kanali<3...0>podržava samo 8-bitni prijenos podataka. DDP kanali<7...5>podržava prijenos samo 16-bitnih podataka.

    Zahtjev za prekidom<7...5,0> DRQ<3,2,1>

    Signali DRQ(DMA zahtjev) se rješavaju resursima na matičnoj ili vanjskim pločama kako bi se zatražila usluga od strane DMA kontrolera ili zaplijenila sabirnica. Signal DRQ mora biti omogućen sve dok DMA kontroler ne omogući odgovarajući signal -DACK.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Signali DRQ se generiraju iz izlaza konvencionalnih TTL mikro krugova, stoga, kada instalirate eksternu ploču u slot ISA sabirnice, trebate pravilno odabrati DMA kanal, koji ne bi trebao biti zauzet drugim vanjskim pločama.

    -DACK<7...5,0> -DACK<3,2,1>

    Signali -DACK(DMA Acknowledge - DMA potvrda) su dozvoljene od strane DMA kontrolera kao potvrda signala zahtjeva DRQ<7...5,3...0> . Rezolucija odgovarajućeg signala -DACK znači da će ili DMA ciklusi biti pokrenuti ili je vanjska ploča uhvatila sabirnicu.

    T/C

    Signal T/C(Terminal Count) omogućava DDP kontroler kada se završi brojanje broja prenosa podataka na bilo kom od DMA kanala, odnosno kada su svi transferi podataka završeni.

    Za napajanje vanjskih ploča na sabirnici JE Koristi se 5 DC napona napajanja: +5 V, -5 V, +12 V, -12 V, 0 V (kućište - uzemljenje). Svi vodovi napajanja su povezani na 8-bitni konektor, osim jedne linije +5 V i jedne linije tijela na dodatnom konektoru.

    Maksimalna dozvoljena potrošnja struje za eksternu ploču za svaki napon napajanja data je u tabeli. 4.1.

    Tabela 4.1. Maksimalna potrošnja struje od strane eksterne ploče

    voltaža

    PAŽNJA! Podaci dati u tabeli. 4.1 ne znači da svaka od eksternih kartica instaliranih u slotovima može trošiti takve struje. Tabela vas samo informiše koje struje smiju proći kroz konektor(e) vanjske ploče. Ukupna dozvoljena potrošnja struje za sve eksterne kartice obično je ograničena napajanjem računara. Stoga, prije ugradnje nove eksterne kartice u bus utor, treba utvrditi da li postoji odgovarajuća rezerva za struju za ovu karticu na napajanju računara.

    Autobusni ciklusi JE uvijek asinhroni u odnosu na SYSCLK. Različiti signali su omogućeni i onemogućeni u bilo kom trenutku; unutar dozvoljenih intervala, signali odgovora se također mogu generirati u bilo koje vrijeme. Jedini izuzetak je signal -0WS, koji mora biti sinhronizovan sa SYSCLK.

    Postoje 4 pojedinačne vrste ciklusa u autobusu: Pristup Resursu, RAP, Regeneracija, Tire Capture. Ciklus Pristup Resursu se izvršava ako centralni procesor ili vanjska ploča kao master komuniciraju s različitim resursima na magistrali. DMA ciklus se izvršava ako je DMA kontroler glavni na magistrali i izvodi cikluse prijenosa podataka između memorije i vazdušnog uređaja. Ciklus regeneracije izvodi samo regeneracijski kontroler za regeneraciju dinamičkih memorijskih čipova. Ciklus hvatanja sabirnice izvodi vanjska ploča kako bi postao master na sabirnici.

    Strukturno, ciklusi se razlikuju po tipu mastera na sabirnici i tipovima resursa pristupa na njoj. Unutar tipa ciklusa postoje različite vrste ciklusa, zbog različitog trajanja svake vrste.

    Postoje tri tipa ciklusa Pristup Resursu:

      ciklus sa 0 ciklusa čekanja - ovaj ciklus je najkraći od svih mogućih;

      normalan ciklus - prilikom izvođenja takvog ciklusa, pristupni resurs ne zabranjuje signal spremnosti I/O CH RDY- od sada će se ciklus ovog tipa jednostavno nazivati ​​normalnim;

      produženi ciklus - prilikom izvršavanja takvog ciklusa, pristupni resurs onemogućuje signal spremnosti I/O CH RDY za vrijeme potrebno da resurs primi ili prenese podatke - od sada će se ciklus ovog tipa nazivati ​​produženim.

    U ciklusima PDP i Regeneracije također postoje dva tipa: normalni i produženi, na osnovu istih gore opisanih uvjeta. U nastavku će biti detaljno opisani svi tipovi ciklusa i dodatno u poglavlju. Na slici 6 prikazani su vremenski dijagrami svih tipova ciklusa.

    CPU započinje ciklus Pristup Resursu generisanje signala BALE, obavještavajući sve resurse o istinitosti adrese na linijama S.A.<19...0> , kao i za fiksiranje adresa po resursima duž linija L.A.<23...17> . Resursi moraju reći CPU rezoluciju signala -MEM CS16 ili -I/O CS16 da ciklus mora biti 16-bitni; inače će petlja završiti kao 8-bitna. CPU takođe izdaje uputstva -MEMR, -MEMW, -IORC I -IOWC definisanje vrste resursa (memorija ili vazdušni talas), kao i smer prenosa podataka. Ako se memoriji pristupa u prvom megabajtu adresnog prostora, tada će i signal biti razriješen -SMEMR ili -SMEMW. Pristupni resurs koji treba da promijeni vrijeme ciklusa mora odgovoriti signalom -0WS ili I/O CH RDY da informiše CPU o trajanju ciklusa pristupa.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Eksterna ploča koja je uhvatila sabirnicu također započinje pristupni ciklus generiranjem adresnih signala, ali, za razliku od CPU-a, ne potvrđuje adresu signalom BALE. Na liniji ovog signala, matična ploča održava logički nivo "1" za cijelo vrijeme dok je magistrala zarobljena od strane vanjske ploče. Stoga, vanjska ploča mora proizvoditi istinite signale i duž linija S.A.<19...0> i duž linija L.A.<23...17> prije nego što komandni signali počnu da se omogućavaju, zadržavajući adresu do kraja ciklusa. Vanjska ploča također mora biti sposobna za analizu signala -MEM CS16 I -I/O CS16 i, u skladu sa ovim signalima, završi petlju kao 16- ili 8-bitnu.

    Pristupni ciklus sa 0 ciklusa čekanja je najkraći mogući ciklus na sabirnici. Ova petlja se može izvršiti samo kada CPU ili eksterna ploča (kada je master na magistrali) pristupaju 16-bitnoj memoriji. Na početku ciklusa, master mora postaviti adresu na linijama L.A.<23...17> da odaberete memorijski blok od 128 KB. Ako signal nije dozvoljen -MEM CS16, tada će se petlja završiti kao 8-bitna (normalna ili proširena) i petlja sa 0 ciklusa čekanja neće biti izvršena. Ako resurs dozvoljava signal -MEM CS16, tada mora omogućiti signal -0WS u odgovarajuće vrijeme nakon izdavanja komandnog signala -MEMR ili -MEMW za završetak petlje sa 0 ciklusa čekanja. Kada je signal zabranjen -0WS ciklus se završava normalno ili produženo.

    NAPOMENE: Ako je signal -0WS je dozvoljen od strane resursa pristupa, tada master ne zahtijeva dozvolu signala I/O CH RDY- ignorisan je. Samo signal -0WS je u autobusu JE sinhroni u odnosu na SYSCLK signal.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Eksterna ploča koja je preuzela magistralu obavlja pristupni ciklus sa 0 ciklusa čekanja kao i centralni procesor.

    Normalnu petlju može izvršiti CPU ili vanjska ploča (ako posjeduje magistralu) kada se pristupa 8- ili 16-bitnom uređaju ili memoriji. Nakon izdavanja adresnih signala sabirnici, master omogućava komandne signale -MEMR, -MEMW, -I/OR ili -I/OW. Kao odgovor, resurs mora riješiti signal I/O CH RDY u odgovarajuće vrijeme, jer će se inače ciklus završiti kao produženi. Dozvola I/O CH RDY prisiljava mastera da završi ciklus u određenom vremenskom periodu (ovaj period je višekratnik perioda SYSCLK, ali nije sinkroniziran s njim). Trajanje normalnog ciklusa je određeno vremenom rezolucije signala -MEMR, -MEMW, -I/OR ili -I/OWšto opet zavisi od veličine podataka i adrese pristupnog resursa.

    Proširenu petlju može izvršiti CPU ili vanjska ploča (ako posjeduje magistralu) kada se pristupa 8- ili 16-bitnom uređaju ili memoriji. Master sabirnice izvršava proširenu petlju ako resurs kojem se pristupa ne omogući signal u odgovarajuće vrijeme nakon što je komandni signal omogućen. I/O CH RDY. Master nastavlja da omogućava komandni signal sve dok resurs ne dozvoli signal I/O CH RDY. Vremenski period produženog ciklusa je takođe višestruk SYSCLK

    Kontroler regeneracije pokušava zauzeti sabirnicu nakon što je prošlo 15 µs od posljednjeg ciklusa regeneracije na dva načina:

      ako je magistrala u vlasništvu centralnog procesora, onda po završetku trenutne komande prenosi sabirnicu na kontroler regeneracije;

      ako je magistrala u vlasništvu DMA kontrolera, tada će se sabirnica prenijeti na regeneracijski kontroler tek nakon završetka ciklusa prijenosa podataka od strane DMA kontrolera.

    Svrha sljedećih signala tokom ciklusa regeneracije ima originalnu interpretaciju:

    -OSVJEŽITI- rezolucija ovog signala označava početak ciklusa regeneracije;

    Adresa- regulator regeneracije generira samo signale preko SA adresnih linija<7...0>, preostali adresni signali nisu definirani;

    -MEMR- signal -MEMR omogućeno od strane kontrolera regeneracije, dok će signal -SMEMR biti omogućen od strane matične ploče;

    SD<15...0> - kontroler regeneracije ignorira linije podataka i svi resursi na magistrali su potrebni za prijenos svojih izlaza preko podatkovnih linija u treće stanje;

    Regulator regeneracije ignorira ove signale:

    -MEM CS16

    -I/O CS16

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Kada je vanjska ploča master na sabirnici, ona mora samostalno omogućiti signal -OSVJEŽITI za pokretanje ciklusa regeneracije memorije.

    Regulator regeneracije pokreće normalni ciklus regeneracije omogućavanjem signala -MEMR, kao odgovor resurs mora riješiti signal I/O CH RDY u odgovarajuće vrijeme, inače će ciklus završiti kao produženi. Dužina ciklusa je zapravo određena samo trajanjem signala -MEMR.

    Regulator regeneracije izvodi produženi ciklus ako barem jedan pristupni resurs ne dozvoljava signal I/O CH RDY u odgovarajuće vrijeme nakon rezolucije signala -MEMR. Regulator regeneracije nastavlja da omogućava signal -MEMR prije signala I/O CH RDYće biti omogućeno svim resursima na sabirnici. Vremenski period produženog ciklusa je takođe višestruk SYSCLK, ali nije sinkroniziran s njim.

    DMA ciklus je sličan pristupnom ciklusu koji izvodi drugi vlasnik magistrale. DMA ciklusi počinju nakon što je signal omogućen -DACK DDP kontroler. Veličina prenetih podataka zavisi od korišćenog DMA kanala: kanali 0 do 3 su definisani za 8-bitni prenos podataka, a kanali 5 do 7 su definisani za 16-bitni prenos podataka. Signali -MEM CS16 I -I/O CS1 6 sam DMA kontroler zanemaruje, ali ove signale koristi bajt shuffler na matičnoj ploči.

    DMA ciklusi se izvode samo između memorijskih i I/O uređaja. Adresni signali koje generiše DMA kontroler sadrže samo memorijsku adresu i ne sadrže adresu u vazduhu. Proces slanja podataka u DMA ciklusu funkcionira ovako: izvor podataka stavlja podatke na magistralu, a prijemnik podataka mora biti spreman da ih primi u isto vrijeme. Naredbe za pisanje i čitanje su također omogućene istovremeno kako bi se pravilno izabrao smjer prosljeđivanja. U ovom slučaju, signal čitanja je nužno omogućen prije signala pisanja kako bi se izbjegla kolizija između bafera podataka u dva resursa.

    Vazdušni uređaj koji traži DMA režim na magistrali dozvoljava signal DRQ odgovarajući kanal. Ako je master na sabirnici centralni procesor, onda on pušta sabirnicu do DMA kontrolera, koji zauzvrat obavještava zračni kontroler s dopuštenjem signala -DACK da počinje RAP ciklus. Pošto DMA kontroler proizvodi samo memorijsku adresu, vazdušni uređaj mora koristiti signale -I/OR, -I/OW I -DACK za prijem ili prijenos podataka u DMA modu.

    DMA ciklus počinje sa aktiviranjem signala -DACK odgovarajući kanal, kao i signal AEN. Rezolucija signala AEN DMA kontroler obavještava sve resurse na magistrali da adrese i komandne signale generira DMA kontroler, a ne centralni procesor, regeneracijski kontroler ili vanjska ploča. Nakon što su komandni signali riješeni, DMA kontroler analizira signal I/O CH RDY za određivanje trajanja ciklusa.

    Ako se ciklus produži, tada je period produženja višestruki od dvostrukog perioda SYSCLK, iako nije sinhronizovan sa SYSCLK.

    NAPOMENA: Podaci koji se upisuju u memoriju ili na uređaj u zraku moraju biti istiniti prije nego što se komanda pisanja omogući i ostati istinita dok se naredba za pisanje ne onemogući.

    Normalnu petlju izvodi DMA kontroler za 8- ili 16-bitni prijenos podataka. DMA kontroler omogućava signale -MEMR, -MEMW, -I/OR I -I/OW, a memorija sa kojom se vrši razmjena mora dozvoliti signal I/O CH RDY u odgovarajuće vrijeme, inače će ciklus završiti kao produžen. Rezolucija signala I/O CH RDY uzrokuje da kontroler završi petlju u određenom vremenskom periodu; ovaj period je višestruki od perioda SYSCLK, ali nije sinkroniziran s njim.

    Trajanje rezolucije signala -MEMR, -MEMW, -I/OR I -I/OW određuje trajanje cijelog ciklusa, a ovo trajanje ovisi o veličini podataka za različite adresne prostore.

    Produženi DMA ciklus izvršava DMA kontroler na isti način kao i normalni ciklus, osim što u produženom ciklusu signal I/O CH RDY nije omogućen u odgovarajućem trenutku nakon što je komandni signal omogućen. DPM kontroler nastavlja da dozvoljava komandne signale sve dok vazdušni uređaj ne dozvoli signal I/O CH RDY. Vremenski period za koji se ciklus produžava je u ovom slučaju višekratnik dvostrukog perioda SYSCLK, iako nije sinhroni sa SYSCLK.

    NAPOMENA: Adresni signali L.A.<23...0> tokom normalnog ciklusa pristupa moraju biti upisani u registar od strane pristupnih resursa kako bi zapamtili adresu tokom cijelog ciklusa. Za razliku od normalnih petlji, kada se izvršavaju DMA petlje, ovi adresni signali su istiniti za cijelu DMA petlju.

    PAŽNJA! DMA kanali koje koriste eksterne kartice za hvatanje sabirnice moraju biti programirani u kaskadnom modu.

    Svaka eksterna kartica instalirana u slot može postati glavna na ISA magistrali. Eksterna ploča za hvatanje sabirnice mora početi sa aktiviranjem signala DRQ DMA kanal unapred programiran u kaskadnom režimu. DMA kanal programiran u kaskadnom režimu pretpostavlja da su svi DMA ciklusi izvršeni od strane eksternog resursa - u ovom slučaju, eksterne ploče. DMA kontroler na eksternu ploču odgovara rezolucijom signala -DACK; vanjski odbor kao odgovor na -DACK dozvoljava signal -MASTER. Nakon rezolucije signala -MASTER vanjska ploča mora čekati neko vrijeme prije nego što može započeti svoje pristupne cikluse.

    ISA (Industrial Standard Architecture) sabirnica je najčešća u industrijskim računarima iz sljedećih razloga:

    najveći broj sistema zbog niske cijene;

    veliki izbor aplikacija;

    brzina prenosa do 2 Mbit/s;

    dobra otpornost na buku;

    veliki broj kompatibilne opreme i softvera.

    Vremenski dijagrami ciklusa razmjene za ulazno/izlazne uređaje (I/O) prikazani su na slici 1.5 (svi vremenski parametri su dati za SYSCLK frekvenciju od 8 MHz). Ciklusi počinju postavljanjem adrese od strane mastera (upravljačkog uređaja sabirnice) na SAO...SA15 linijama i -SBHE signala. Imajte na umu da se, uprkos potencijalnoj mogućnosti adresiranja 16 adresnih linija, najčešće koristi samo 10 linija nižeg reda SAO...SA9, budući da većina prethodno razvijenih ploča za proširenje koristi samo njih, te stoga, osim u posebnim slučajevima, postoji nema smisla obraditi visoke kategorije SA10...SA15.

    Kao odgovor na prijem adrese, izvođač (slave sabirnice), koji je prepoznao njegovu adresu, mora generirati -I/O CS16 signal ako razmjena mora biti 16-bitna.

    Zatim dolazi stvarna komanda za čitanje ili pisanje. U toku ciklusa čitanja, master postavlja -IOR signal, kao odgovor na koji izvršitelj mora poslati podatke na sabirnicu podataka. Ove podatke izvođač mora ukloniti nakon završetka -IOR signala. U ciklusu pisanja, master postavlja podatke koji će biti upisani i prati ih sa strobeom pisanja -IOW. Ovdje treba napomenuti da iako, u skladu sa standardom, podešavanje snimljenih podataka prethodi postavljanju -IOW, neki računari implementiraju obrnuti redoslijed: prvo se postavlja -IOW, a zatim se pojavljuju podaci. Stoga, prilikom projektovanja zračnog talasa, samo zadnju (pozitivnu) ivicu -IOW signala treba uzeti u obzir kao trenutak valjanosti podataka.

    U slučaju da vazdušni uređaj nema vremena da izvrši naredbu koja se od njega zahteva brzinom sistemske magistrale, može suspendovati završetak ciklusa čitanja ili pisanja za ceo broj perioda SYSCLK signala uklanjanjem ( prevođenje na niski nivo) I/O CH RDY signal (tzv. produženi ciklus). Ovo se radi kao odgovor na prijem -IOR ili -IOW signala. I/O CH RDY signal se može zadržati na niskom nivou ne više od 15,6 µs, inače procesor ulazi u režim obrade nemaskiranog prekida. Imajte na umu da neki proizvođači personalnih računara navode u pratećoj dokumentaciji druge dozvoljene vrijednosti ovog vremenskog intervala (na primjer, 2,5 μs), tako da se ne biste trebali oslanjati na maksimalnu vrijednost navedenu u standardu, inače nema garancije da će kontrolni sistem će raditi na svim računarima.

    Slika 1.5 - Vremenski dijagrami ciklusa čitanja i pisanja (T - period SYSCLK signala; svi vremenski intervali u nanosekundama)

    Prilikom projektovanja eternih talasa, pored protokola razmene preko sistemske magistrale, potrebno je uzeti u obzir i električne karakteristike signala. ISA standard sabirnice definira zahtjeve ulazne i izlazne struje za prijemnike i izvore signala svake kartice za proširenje. Nepoštivanje ovih zahtjeva može poremetiti funkcionisanje cijelog računala, pa čak i uzrokovati njegov otkaz.

    Izlazni stupnjevi sistemskih predajnika signala u zraku moraju proizvoditi struju niskog nivoa od najmanje 24 mA (ovo se odnosi na sve tipove izlaznih stupnjeva) i struju visokog nivoa od najmanje 3 mA (za tri-state i TTL izlaze ).

    Ulazni stupnjevi prijemnika sistema ne smiju trošiti više od 0,8 mA niske ulazne struje i najviše 0,04 mA ulazne struje visokog nivoa.

    Osim toga, potrebno je uzeti u obzir da maksimalna dužina tiskanog vodiča od kontakta glavnog konektora do pina mikrokola ne smije biti veća od 65 milimetara, a maksimalni kapacitet u odnosu na uzemljenje za svaki kontakt glavnog konektor ne bi trebao biti veći od 20 pF.

    Otpornici opterećenja su povezani na neke vodove glavne linije, koji idu na magistralu napajanja +5 V 4.7 kOhm otpornici su povezani na linije -IOR, -IOW, -MEMR, -MEMW, -SMEMR, -SMEMW, -I/. O CH SK, na linije -I/O CS 16, -MEM CS 16, -REFRESH, -MASTER, -OWS - 300 Ohm, i na I/O CH RDY liniju - 1 kOhm. Osim toga, serijski otpornici su povezani na neke vodove magistrale: otpornici od 22 oma su povezani na -IOR, -IOW, -MEMR, -MEMW, -SMEMR, -SMEMW i OSC linije, a otpornici od 27 oma su povezani na SYSCLK linija.

    Tabela 1.1 - Opis signala ISA sabirnice

    Oznaka

    Svrha

    Smjer

    izvor

    Adresni signali

    L.A.<23...17>

    Adresni signali

    Visoka rezolucija bajtova na SD linijama<15...8>

    Strobo za pisanje adresa na LA linijama

    Rezolucija adrese. Obavještava uređaje da se na magistrali pokreću DMA petlje

    Sabirnica podataka

    Čitanje memorije (čitanje memorije unutar prvog megabajta adresnog prostora)

    Zapisivanje u memoriju (upisivanje u memoriju unutar prvog megabajta adresnog prostora)

    Čitanje UVV

    Snimanje u UVV

    Izbor memorijskog ciklusa, označava da je memorija 16-bitna

    Odabir ciklusa za zračni talas označava da je zračni talas 16-bitni

    Spremnost I/O kanala. Dizajniran da produži cikluse pristupa

    0 ciklusa čekanja

    Regeneracija memorije

    Vodeći. Dizajniran za hvatanje sabirnice s vanjskom pločom

    Provjera I/O kanala. Fatalna poruka o grešci

    Resetovanje uređaja

    Frekvencija sistema

    Frekvencija jednaka 14,3818 MHz

    Frekvencija jednaka 14,3818 MHz<15,14,12,

    11,10,9,7...3>

    Zahtjev za prekidom

    Zahtjev za prekidom<7...5,3...0>

    Zahtjev za RAP

    Zahtjev za RAP<7...5, 3...0>

    RAP potvrda

    Kraj DAP brojanja

    Kraj DAP brojanja

    U tabeli se koriste sljedeće oznake:

    znak “-” (minus) ispred oznake signala znači da je aktivni nivo ovog signala logička nula;

    I - signal je ulaz za eksterne ploče;

    O - izlazni signal za vanjske ploče;

    I/O - signal je i ulaz i izlaz za vanjske ploče;

    TRI - izlaz mikrokola sa tri dozvoljena izlazna stanja;

    TTL - izlaz tranzistor-tranzistor logičkog čipa;

    OK - izlaz otvorenog kolektora.

    Tabela 1.2 - Dodjela pinova ISA sabirnice

    Pin broj

    Strana A

    Strana B

    Strana C

    Strana D

    Tabela 1.3 - Električne karakteristike izvora signala ISA sabirnice

    Tabela 1.2 - Električne karakteristike izvora signala ISA sabirnice

    predajnik

    Tabela 1.2 - Električne karakteristike izvora signala ISA sabirnice

    Prijemnik

    prijemnik

    Predajnik

    sve struje u tabeli su izražene u miliamperima. Znak “-” ispred trenutne vrijednosti znači da struja teče sa vanjske ploče u utor magistrale;

    vod sa izlazom otvorenog kolektora može se spojiti na TTL ulaz;

    duž linije sa izlazom otvorenog kolektora, struja Ioh (struja curenja) ne bi trebala prelaziti 0,4 miliampera za svaki slot.

    Tabela 1.4 - Maksimalna potrošnja struje od strane vanjske ISA sabirnice

    voltaža

    Predajnik

    Eksterna ploča koristi samo 8-bitni slot;

    Vanjska ploča koristi 16-bitni slot;

    Tabela vas obavještava koje struje mogu proći kroz konektor vanjske ploče.

    Tire JE (I industrijski S tandart A rhitecture) je de facto standardna magistrala za personalne računare kao što su IBM PC/AT i kompatibilne. Tire EISA, sa kojim su brojne kompanije proizvodile personalne računare, ustupila je mesto PCI magistrali i sada se retko koristi. Glavne razlike između ISA sabirnice IBM PC/AT personalnog računara i njegovog prethodnika, IBM PC/XT sabirnice, su sljedeće:

      AT sabirnica računara omogućava korištenje i 16-bitnih I/O uređaja i 16-bitne memorije na vanjskim pločama;

      16-bitni ciklus pristupa memoriji na vanjskoj ploči može se izvesti bez umetanja taktova čekanja;

      količina direktno adresabilne memorije na vanjskim pločama može doseći 16 MB;

      vanjska ploča može postati master (master) na magistrali i samostalno pristupiti svim resursima kako na magistrali tako i na matičnoj ploči.

    1.1. Vrste uređaja koji rade na ISA magistrali

    Kada se opisuje magistrala, preporučljivo je zamisliti računar kao što se sastoji od matične ploče i vanjskih ploča koje međusobno komuniciraju i resursima matične ploče putem magistrale. Svi pasivni uređaji (koji ne mogu postati zadaci) na magistrali mogu se podijeliti u dvije grupe - memorijski i ulazno-izlazni uređaji (portovi). Ciklusi pristupa za svaku grupu razlikuju se jedni od drugih i po vremenu i po signalima koji se generiraju na magistrali.

    Čisto uslovno, radi lakšeg razumijevanja funkcioniranja autobusa JE, pretpostavićemo da na matičnoj ploči računara postoje sledeći uređaji koji mogu biti vlasnici (masteri) magistrale: centralna procesorska jedinica (CPU), kontroler direktnog pristupa memoriji (DMA), kontroler regeneracije memorije (MRC). Osim toga, vanjska ploča također može biti master na sabirnici. Prilikom izvršavanja ciklusa pristupa na sabirnici, samo jedan od uređaja može biti glavni. Pogledajmo bliže funkcije ovih uređaja na sabirnici. JE.

    Centralna procesorska jedinica (CPU)- je glavni majstor u autobusu. Po defaultu, CPU će se smatrati glavnim na sabirnici. DMA kontroler, kao i kontroler regeneracije memorije, zabranjuju rad CPU-a tokom svog rada.

    DMA kontroler- ovaj uređaj je povezan sa signalima zahtjeva za DMA način rada i signalima za potvrdu DMA načina rada. Aktivni signal DMA zahtjeva će omogućiti naknadno preuzimanje sabirnice od strane DMA kontrolera za prijenos podataka iz memorije u izlazne portove ili iz ulaznih portova u memoriju.

    Kontroler regeneracije memorije- postaje vlasnik magistrale i generiše signale čitanja adrese i memorije za regeneraciju informacija u dinamičkim memorijskim čipovima kako na matičnoj memoriji tako i na vanjskim pločama.

    Eksterna ploča- komunicira sa drugim uređajima preko konektora na ISA magistrali. Može postati master sabirnice za pristup memoriji ili I/O uređajima.

    Osim toga, postoji niz uređaja na matičnoj ploči računala koji ne mogu biti gospodari na magistrali, ali ipak komuniciraju s njom. Ovo su sljedeći uređaji:

    Sat realnog vremena (brojač vremena)- Ovaj uređaj se sastoji od sata u realnom vremenu koji podržava datum i vrijeme i tajmera, obično zasnovanog na Intel 8254A čipu. Jedan od brojača tajmera ovog čipa generiše impulse u trajanju od 15 mikrosekundi kako bi pokrenuo kontroler za regeneraciju memorije da se regeneriše.

    Cross matične ploče- dio matične ploče koji povezuje bus konektore JE za povezivanje eksternih ploča sa drugim resursima na matičnoj ploči.

    Memorija na matičnoj ploči- Neki ili svi čipovi memorije direktnog pristupa (RAM) koji se koriste za pohranjivanje informacija CPU-a. Dodatni memorijski čipovi se također mogu postaviti na vanjske ploče.

    Kontroler prekida- ovaj uređaj je povezan na linije zahtjeva za prekid na magistrali. Prekidi zahtijevaju dalje održavanje CPU-a.

    I/O uređaji- Neki ili svi I/O uređaji (kao što su paralelni ili serijski portovi) mogu biti smješteni ili na matičnoj ploči ili na vanjskim pločama.

    Zamjena bajtova podataka- Ovaj uređaj vam omogućava razmjenu podataka između 16-bitnih i 8-bitnih uređaja.

    Arhitektura IBM PC/AT personalnog računara sa stanovišta korišćenja ISA magistrale prikazana je na slici.

    Vanjske kartice ugrađene u konektore magistrale mogu biti 8- i/ili 16-bitne. 8-bitna kartica ima samo jedan konektor za interfejs i može da obrađuje samo 8-bitne podatke. 8-bitni slot također ne može biti master magistrale. 16-bitna ploča mora imati dva interfejs konektora - jedan glavni, isti kao kod 8-bitnih ploča, i jedan dodatni. Takva ploča može raditi i sa 8- i 16-bitnim podacima i, osim toga, može biti master na magistrali. Ukupan broj ploča ugrađenih u konektore sabirnice ograničen je i kapacitetom magistrale i dizajnom matične ploče. Tipično, ne možete instalirati više od 8 (pet 16-bitnih i tri 8-bitne) eksternih kartica po magistrali. Ovo ograničenje je takođe uzrokovano relativno malim brojem slobodnih linija DMA zahtjeva i zahtjeva za prekidima dostupnih na magistrali.

    2. Karakteristike majstora u autobusu

    2.1. CPU

    Centralni procesor je po defaultu glavni vlasnik magistrale, DMA kontroler i kontroler za regeneraciju memorije mogu postati glavni na magistrali samo ako prvo onesposobe CPU. Proces inhibicije rada CPU-a sastoji se od generisanja signala zahtjeva za DMA i primanja signala potvrde za DMA.

    Centralni procesor može biti izvor i 16-bitnih i 32-bitnih operacija. Kada je CPU 16-bitni resurs, on može obavljati operacije i na 16-bitnim i na 8-bitnim resursima na magistrali. Kada CPU izvrši naredbu koja radi na 16-bitnim podacima, ako je pristupni resurs 8-bitni, tada se dva ciklusa pristupa obavljaju posebnim hardverom na matičnoj ploči. Ako je CPU 32-bitni, onda u hardveru na matičnoj ploči računara, jedan 32-bitni ciklus rada CPU-a sa eksternim resursom mora biti konvertovan u dva pojedinačna 16-bitna ciklusa pristupa.

    Karakteristike za vanjske ploče. Ako je CPU glavni na sabirnici, tada vanjske kartice mogu raditi samo u memorijskom ili I/O modu.

    2.2. DMA kontroler

    Signali koji podržavaju DMA se dovode iz konektora direktno u DMA kontroler, koji se obično pravi na Intel 8237A čipu. Kada bilo koji uređaj zatraži DMA način rada (barem jedan od signala DRQ postane aktivan), DMA kontroler preuzima magistralu od CPU-a. Zatim se emituje odgovarajući signal -DACK znači da je DMA kontroler počeo sa prijenosom podataka. DMA ciklusi se neće izvršiti na magistrali ako je signal -MASTERće biti dozvoljeno sa neke vanjske ploče.

    Ako je DMA zahtjev potreban od strane I/O uređaja, imajte na umu da DMA kanali 0...3 podržavaju prijenos samo 8-bitnih podataka; svi podaci se moraju prenositi samo preko linija SD<7...0> . Zamjena bajtova se u ovom slučaju vrši hardverski na matičnoj ploči u skladu sa signalima SA0 i -SBHE. Takva zamjena može biti potrebna, na primjer, prilikom prijenosa podataka iz visokog bajta 16-bitne memorije na 8-bitni port. DMA kanali 5...7 podržavaju prenos samo 16-bitnih podataka; svi podaci se moraju prenijeti kao 16-bitne linije SD<15...0> . Memorija uključena u rad u DMA modu na ovim kanalima mora biti samo 16-bitna. Zamjena bajtova na matičnoj ploči neće ispraviti neusklađenost veličine podataka.

    NAPOMENA: 8-bitna memorija, sa svoje strane, može prenositi podatke samo u DMA modu na 8-bitne I/O uređaje; 8-bitna memorija se ne može koristiti sa 16-bitnim I/O uređajima.

    PAŽNJA! Kontroler za regeneraciju memorije ne može preuzeti sabirnicu sve dok je DMA kontroler posjeduje. To znači da nijedan DMA ciklus ne bi trebao biti duži od 15 µs. U suprotnom može doći do gubitka informacija u čipovima dinamičke memorije.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Signali za traženje i potvrđivanje DMA moda su povezani na sve eksterne ploče i ovi signali se generiraju konvencionalnim TTL izlazima, tako da sve eksterne ploče moraju koristiti i analizirati različite DMA kanale. U suprotnom može doći do sukoba između vanjskih slotova ili uređaja na matičnoj ploči.

    Eksterni priključci mogu biti ili memorija direktnog pristupa ili I/O uređaji kada su povezani sa DMA kontrolerom.

    2.3. Eksterna ploča

    Eksterne ploče mogu raditi u 5 različitih načina: bus master, memorija i I/O uređaji s direktnim pristupom, memorija i I/O uređaji, regeneracija memorije ili resetiranje. Ploče mogu podržavati bilo koju kombinaciju prva četiri načina rada; Sve ploče moraju istovremeno poslušati signal za resetiranje.

    Mogu postati samo 16-bitne kartice sa dva interfejs konektora majstori u autobusu. Za snimanje sabirnice, vanjska ploča mora omogućiti signal -DRQ i nakon što je primio signal -DACK sa DDP kontrolera, omogućite signal -MASTER. Ovim je završena procedura hvatanja gume.

    Eksterna ploča, nakon što je uhvatila magistralu, može izvršiti bilo koji pristupni ciklus, baš kao i centralni procesor. Jedino ograničenje je nemogućnost izvođenja DMA ciklusa, budući da su svi signali interfejsa koji kontrolišu rad DMA kontrolera povezani na matičnu ploču i ne mogu ih koristiti DMA kontroler koji se nalazi na spoljnoj ploči. Kada je vanjska ploča glavna na magistrali, DMA kontroler inhibira signal AEN i to omogućava I/O uređajima da normalno dešifriraju adresu i da budu dostupni vanjskoj ploči. Kada je AEN signal zabranjen, DMA ciklusi prijenosa su nemogući (više detalja u odjeljku opisa signala AEN, u Pogl. 3). Osim toga, DMA ciklusi se ne mogu izvršavati na magistrali i zato što je kanal DMA kontrolera kroz koji je sabirnica zauzeta, a ostali kanali DMA kontrolera se ne mogu koristiti dok se ne pusti prethodno zauzeti, tj. sve dok sabirnicu ne pusti vanjska ploča koja ju je uhvatila.

    NAPOMENA: Softver koji podržava rad vanjske ploče kao glavnog sabirnice mora osigurati da se DMA kanali mogu koristiti samo u kaskadnom modu. U suprotnom, vanjska ploča neće moći uhvatiti sabirnicu.

    NAPOMENA: Eksterna kartica započinje svaki pristupni ciklus kao 16-bitni, međutim ako signal -MEM CS16 ili -I/O CS16 neće biti omogućeno, petlja će završiti kao 8-bitna. U ovom slučaju, zamjena bajtova na matičnoj ploči će odrediti koje linije podataka ( SD<15...8> ili SD<8...0> ) bajt informacija se prenosi na osnovu analize signala -SBHE I SA0.

    PAŽNJA! Eksterna ploča koja je uhvatila sabirnicu mora generirati signal ne manje od svakih 15 μs -OSVJEŽITI da zatražite od kontrolera regeneracije da regenerira memoriju. Prilikom izvođenja ciklusa regeneracije memorije, kontroler regeneracije generiše adresne i komandne signale i analizira signal I/O CH RDY, ali vanjska ploča koja je generirala signal -OSVJEŽITI, po završetku ciklusa regeneracije, uklanja ovaj signal i nastavlja da ostane master na sabirnici. Ako je potrebno, izvršite nekoliko ciklusa regeneracije signala -OSVJEŽITI može držati vanjska ploča za cijelo vrijeme potrebnog broja ciklusa regeneracije.

    Kontroler za regeneraciju memorije ne može zauzeti samu sabirnicu sve dok DMA kontroler (naime, preko njega vanjska ploča postane master na sabirnici) ne otpusti je za vrijeme trajanja regeneracije signalom -OSVJEŽITI.

    2.4. Načini direktnog pristupa memoriji ili I/O pristupa

    Eksterna ploča može raditi u DMA modu samo ako je DMA kontroler glavni na magistrali. U DMA modu, podaci se uvijek prenose između I/O uređaja i memorije na vanjskoj ploči. U direktnom I/O načinu, podaci se prenose između memorije i I/O uređaja na vanjskoj ploči. Eksterna ploča koja na magistrali odgovara kao 8- ili 16-bitni uređaj mora koristiti 8- ili 16-bitne DMA kontrolerske kanale. U tabeli Slika 2.2 prikazuje stanje signala na magistrali za DMA mod.

    PAŽNJA! Postoje neka posebna razmatranja na koja biste trebali obratiti pažnju kada izvodite cikluse prijenosa podataka između 8-bitnih I/O uređaja i 16-bitne memorije na vanjskoj ploči. Prvo, vanjska ploča mora analizirati signale -SBHE I SA0 da ispravno identifikuju prenete podatke.

    Drugo, kada se piše u airwave iz memorije na vanjskoj ploči, zamjena bajtova na matičnoj ploči će odrediti koja polovina sabirnice podataka ( SD<15...8> ili SD<7...0> ) bajt treba poslati; Nakon analize -SBHE i SA0, vanjska ploča mora odrediti na koju polovinu sabirnice podataka poslati bajt podataka. Treće, kada čita zračni talas u memoriju na vanjskoj ploči, zamjenjivač bajtova također šalje bajt podataka u memoriju bilo preko više polovine magistrale podataka SD<15...8> , ili od strane mlađe polovine SD<7...0> . Eksterna signalna ploča -SBHE I SA0 mora odrediti kada će prenijeti svoje izlaze u treće stanje na donjoj polovini magistrale podataka SD<7...0> kako biste izbjegli sudare na gumama.

    Eksterna ploča može razmjenjivati ​​16-bitnu memoriju u DMA načinu rada i sa 8-bitnim I/O uređajima i sa 16-bitnim uređajima. Ali, ako je vanjska ploča 8-bitna memorija, onda u DMA modu može komunicirati samo sa 8-bitnim I/O uređajima. Još jedna karakteristika se primjenjuje kada DMA kontroler zapisuje podatke na 8-bitni izlazni uređaj na vanjskoj ploči iz 16-bitne memorije. Ako je takva eksterna kartica instalirana u 16-bitni slot i može raditi u 16-bitnom načinu rada, ona mora podržavati visoku polovinu sabirnice podataka za ovaj slučaj SD<15...8> u trećem stanju kako bi se izbjegao sudar signala na sabirnici.

    PAŽNJA! Kada je DMA kontroler glavni na sabirnici, on zanemaruje -0WS signal, pa ako se vanjska ploča koristi kao 16-bitna memorija i komunikaciju s njom obavlja DMA kontroler, upotreba brzih memorijskih čipova u takvom tabla nema smisla.

    Normalan pristup vanjskoj ploči kao memoriji ili I/O uređaju. Eksterna ploča postaje normalna memorija ili I/O resurs ako je master sabirnice CPU ili druga vanjska ploča.

    PAŽNJA! Postoje karakteristike ove upotrebe eksterne kartice ako je instalirana u slot i učestvuje u razmeni podataka kao 8-bitna memorija ili vazdušni talas tokom čitavog ciklusa pristupa. Prilikom čitanja podataka u takvu eksternu ploču, bajt shuffler će zamijeniti podatke između magistrala SD<15...8> ili SD<7...0> za pravilan prijem podataka od strane vanjske ploče. Eksterna ploča mora podržavati svoje izlaze SD<15...8> u trećem stanju, jer je u suprotnom neizbježan sudar signala na sabirnici podataka.

    PAŽNJA! Kada neke vanjske ploče postanu master na sabirnici, mogu ignorirati signal I/O CH RDY ili -0WS i izvedite ciklus pristupa kao ciklus pristupa 8- ili 16-bitnoj memoriji. Ali sve vanjske ploče moraju se vratiti glavnom na sabirnici JE Ovi signali su opcioni jer ako je CPU glavni na magistrali, on koristi ove signale da odredi trajanje ciklusa pristupa.

    2.5. Reset mod

    Sve eksterne ploče su u režimu resetovanja kada je signal omogućen RESET DRV; inače je ovaj način rada nemoguć. Svi izlazi u tri stanja na ploči moraju biti u trećem stanju i svi izlazi otvorenog kolektora moraju biti u logičkom jednom stanju najmanje 500 ns nakon što je signal omogućen. RESET DRV. Sve eksterne kartice moraju završiti svoju inicijalizaciju unutar 1 ms od aktiviranja signala RESET DRV i budite spremni da izvršite pristupne cikluse na sabirnici. Bilo kakve operacije na sabirnici su moguće samo nakon što je signal onemogućen RESET DRV.

    2.6. Kontroler regeneracije memorije

    Kontroler regeneracije memorije izvodi cikluse čitanja memorije na posebnim adresama na matičnoj i vanjskim pločama kako bi regenerirao informacije u dinamičkim memorijskim čipovima. Svakih 15 µs kontroler pokušava uhvatiti sabirnicu za pokretanje ciklusa regeneracije. Ako je u ovom trenutku glavni na sabirnici centralni procesor, onda on oslobađa sabirnicu za kontroler regeneracije. Ako u ovom trenutku sabirnicu uhvati vanjska ploča, kontroler regeneracije će izvršiti ciklus regeneracije samo kada vanjska ploča generiše signal -OSVJEŽITI. Ako je u ovom trenutku glavni na sabirnici bio DMA kontroler, tada se ciklus regeneracije ne može završiti dok se ne oslobodi sabirnica.

    Kada se izvrši ciklus regeneracije, regulator regeneracije generiše SA adresne signale<7...0>sa jednom od 256 mogućih adresa regeneracije. Ostale adresne linije su nedefinirane i mogu biti u trećem stanju. Ovaj ciklus može biti odgođen I/O CH RDY signalom sa omogućenim signalima -SMEMR I -MEMR.

    PAŽNJA! Ciklusi regeneracije moraju se izvoditi svakih 15 µs da bi se nabrojilo svih 256 adresa u 4 ms. Ako ovaj uvjet nije ispunjen, podaci pohranjeni na hrpi mogu biti izgubljeni.

    3. Opšti opis ISA sabirnice

    Ovo poglavlje govori o karakteristikama sabirnice koje su nezavisne od tipa uređaja koji zauzima sabirnicu.

    3.1. Adresni prostor prilikom pristupa memoriji

    Maksimalni memorijski adresni prostor podržan od strane magistrale JE, 16 MB (24 adresne linije), ali ne podržavaju svi slotovi u potpunosti ovaj adresni prostor. Kada master magistrale pristupi memoriji na matičnoj ploči ili memoriji instaliranoj u utoru, mora omogućiti signale -MEMR ili -MEMW; Hardver na matičnoj ploči dodatno dozvoljava signale -SMEMR I -SMEMW, ako je tražena adresa unutar prvog megabajta adresnog prostora. Samo linije su povezane na 8-bitne slotove -SMEMR I -SMEMR, SD<7...0> I S.A.<19...0> ; stoga, eksterne kartice instalirane u 8-bitne slotove mogu biti samo 8-bitni I/O uređaji ili 8-bitna memorija u prvom megabajtu adresnog prostora. Eksterne kartice instalirane u 8/16-bitne slotove prihvataju sve komandne signale, adrese i podatke; mogu biti 8- ili 16-bitni, a memorijski adresni prostor na njima može biti bilo šta unutar 16 MB. Ciklus pristupa takvim eksternim karticama završava se kao 16-bitni ako kartica omogući signal -I/O CS16 ili -MEM CS16.

    NAPOMENA: Memorija na matičnoj ploči ili vanjskoj kartici smatra se 16-bitnim resursom samo ako je signal omogućen -MEM CS16. Ovaj signal se generiše iz adresnih signala L.A.<23...17> ; stoga se 16-bitnoj memoriji može pristupiti samo u blokovima od 128 KB; unutar takvog bloka, memorija ne može biti djelomično 8-bitna, a djelomično 16-bitna, jer je nemoguće jedinstveno generirati signal pristupanjem manjem bloku -MEM CS16. Dubina bita unutar takvog bloka mora biti ista kada se pristupa bilo kojoj adresi unutar 128 KB.

    PAŽNJA! Dinamički memorijski čipovi zahtijevaju cikluse osvježavanja svakih 15 µs. Ako se ciklusi osvježavanja izvode rjeđe od 15 µs, podaci u memoriji mogu biti izgubljeni.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Dinamička memorija na matičnoj ploči može imati dva tipa organizacije - 16-bitnu ili 32-bitnu. Ali kapacitet memorije na matičnoj ploči uzima u obzir samo centralni procesor za vanjske ploče, dinamička memorija na matičnoj ploči je uvijek samo 16-bitna. ROM na matičnoj ploči koji sadrži BIOS (bazni ulazno/izlazni sistem) je također uvijek 16-bitni.

    3.2. Adresni prostor za I/O uređaje

    Maksimalni adresni prostor za I/O uređaje koje podržava ISA sabirnica je 64 KB (16 adresnih linija). Svi slotovi podržavaju 16 adresnih linija. Prvih 256 adresa rezervisano je za uređaje koji se po pravilu nalaze na matičnoj ploči - registre DMA kontrolera, kontrolera prekida, sata realnog vremena, tajmer-brojača i drugih uređaja potrebnih za AT kompatibilnost različitih računara.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Uprkos činjenici da je svih 16 adresnih signala dostupno za odabir vazdušne adrese, tradicionalno se samo prvih 10 bitova adrese koristilo za vazdušne adrese u IBM PC/XT/AT seriji računara. To znači da će adrese iz sljedećih blokova kilobajta biti dekodirane na isti način kao i adrese u prvom kilobajtu zračnih adresa. Stoga, za novorazvijene eksterne ploče treba koristiti „windows“ u trenutnoj distribuciji adresa standardnih eternih talasa za IBM PC/AT računare. Da biste povećali broj korištenih airwave adresa (ako je potrebno), možete koristiti adresni prostor odabranog prozora sa pomakom od 1 KB ili višestrukim. Očigledno, vanjska ploča u ovom slučaju mora dekodirati više od 10 adresnih linija.

    3.3. Struktura prekida

    Linije zahtjeva za prekidom su direktno povezane na kontrolere prekida tipa Intel 8259A. Kontrolor prekida će odgovoriti na zahtjev na takvoj liniji ako signal na njemu ide s niskog na visoki. Tire JE nema linije koje potvrđuju prijem zahtjeva za prekid, tako da uređaj koji zahtijeva prekid mora sam odrediti reakcijom CPU-a da li je njegov zahtjev primljen.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Linije zahtjeva za prekidom su povezane sa svim slotovima i obrađuju se od strane kontrolera prekida na rastućoj ivici signala. Prije instaliranja nove vanjske ploče, ako ona koristi kontroler prekida u svom radu, trebate utvrditi da li postoji slobodna linija zahtjeva za prekid i koristiti je za novu vanjsku ploču. Ako ovaj uslov nije ispunjen, može doći do konfliktnih situacija na autobusu.

    3.4. Zamjena bajtova

    CPU ili eksterna ploča mogu izvoditi 8-bitne ili 16-bitne cikluse pristupa, pri čemu svi ciklusi uvijek počinju kao 16-bitni i završavaju kao 8-bitni ili 16-bitni. Ciklus pristupa će biti završen kao 8-bitni ako uređaj kojem se pristupa blokira signal -I/O CS16 ili -MEM CS16.

    Zamjena bajtova se uvijek nalazi na matičnoj ploči. Njegov zadatak je da precizno uskladi veličinu podataka koji se razmjenjuju između uređaja. Na sl. Slika 3.1 prikazuje mjesto zamjene bajtova prilikom prijenosa podataka između mastera i resursa kojem se pristupa. U tabeli 3.1 sumira sve informacije o zamjeni bajtova tokom pristupnih ciklusa. Bajtovi se zamjenjuju sa magistrale SD<15...0> (HIGH BYTE - visoki bajt) uključeno SD<7...0> (LOW BYTE - niski bajt) ili obrnuto. U tabeli prenos bajtova sa SD magistrale<15...0>do SD<7...0>označeno kao H > L, obrnuto - L< H. LL означает, что байт по младшей половине шины данных не переставляется, HH - что байт по старшей половине шины не переставляется. HH/LL - и старший и младший байт передаются каждый по своей половине шины данных и не переставляются.

    Tabela 3.1.

    Master autobusa

    Resursu se pristupa

    Završavanje ciklusa

    Veličina podataka

    Veličina podataka

    Veličina podataka

    Ruta čitati pisati

    Na sl. Slika 3.2 prikazuje lokaciju zamjene bajtova za cikluse prijenosa podataka u DMA modu. U tabeli 3.2 sumira sve informacije o zamjeni bajtova tokom DMA ciklusa. Bajtovi se zamjenjuju sa magistrale SD<15...0> (HIGH BYTE) uključeno SD<7...0> (LOW BYTE) ili obrnuto. U tabeli prenesite bajt sa magistrale SD<15...0> on SD<7...0> označeno kao H > L, obrnuto - L< H. LL означает, что байт по младшей половине шины данных не переставляется, HH - что байт по старшей половине шины не переставляется. HH/LL - и старший и младший байт передаются каждый по своей половине шины данных и не переставляются.


    Tabela 3.2.

    I/O uređaj

    DMA kontroler

    Završavanje ciklusa

    Veličina podataka

    Veličina podataka

    -MEM CS16

    Veličina podataka

    čitaj piši

    Zabranjeno

    4. Opis signala na ISA sabirnici

    Ovo poglavlje opisuje sve signale na ISA sabirnici. Za bolje razumijevanje rada magistrale, preporučljivo je podijeliti sve signale u 7 grupa: ADRESE, PODACI, SIGNALI ZA TAKOVANJE, KOMANDNI SIGNALI, SIGNALI DMA MODA, SIGNALI CENTRALNE KONTROLE, SIGNALI PREKIDA, NAPAJANJE. Informacije o smjeru signala (ulazni, izlazni ili dvosmjerni) se daju u odnosu na master na sabirnici.

    4.1. Adresni signali

    Grupa adresnih signala uključuje adrese koje generira trenutni master na sabirnici. Postoje dvije vrste adresnih signala na ISA magistrali, S.A.<19...0> I L.A.<23...17> .

    S.A.<19...0>

    Adresni signali ovog tipa se dovode u sabirnicu iz adresnih registara u kojima je adresa zaključana. Signali S.A.<19...0> dozvoli pristup memoriji samo u najnižem megabajtu adresnog prostora. Kada pristupate I/O uređaju, samo signalizira S.A.<15...0> S.A.<19...16> nedefinisano.

    Tokom ciklusa regeneracije adrese, samo signali S.A.<7...0> imaju pravo značenje i stanje signala S.A.<19...8> undefined i ovi pinovi moraju biti u trećem stanju za sve uređaje na magistrali.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Eksterna ploča, koja je postala master na sabirnici, mora dozvoliti signal -OSVJEŽITI da bi regenerisala memoriju, u ovom slučaju eksterna ploča mora da prenese svoje drajvere signala izlazne adrese u treće stanje.

    L.A.<23...17>

    Signali ovog tipa ulaze u sabirnicu bez zabravljivanja u registrima. Kada je centralni procesor glavni na magistrali, tada su vrijednosti signala na linijama L.A.<23...17> tačno tokom generisanja signala BALE i mogu imati proizvoljnu vrijednost na kraju ciklusa pristupa. Ako je master na sabirnici DMA kontroler, signali L.A.<23...17> istina prije nego što signal počne -MEMR ili -MEMW i čuvaju se do kraja ciklusa. Prilikom izvođenja ciklusa pristupa memoriji, signalizira L.A.<23...17> su uvijek istiniti, a kada se pristupa I/O uređajima, ovi signali su na logičkom nivou od "0".

    Prilikom izvođenja ciklusa regeneracije, stanje linija L.A.<23...17> je nedefinisan i svi resursi na magistrali moraju održavati svoje izlaze na ovim linijama u trećem stanju.

    PREPORUKE: Za signale "zatvaranja". L.A. Treba koristiti samo registre sa potencijalnim ulazom. To je zato što će se u ovom slučaju nova prava adresa pojaviti na izlazu registra na početku signala BALE(a ne na njenoj padajućoj ivici) i, osim toga, tokom ciklusa pristupa memoriji od strane nekog drugog mastera, a ne CPU, signal BALE se održava u logičkom "1" stanju i registar sa potencijalnim ulazom će jednostavno postati repetitor signala L.A.(što je potrebno u ovom slučaju).

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je vanjska ploča master na sabirnici, onda signali L.A.<23...17> mora biti istinito prije nego što signal počne -MEMR ili -MEMW i tako ostati do kraja ciklusa. -OSVJEŽITI(treba imati na umu da eksterna ploča to može učiniti samo ako je master na sabirnici), tada će kontroler regeneracije generirati adresne signale, tako da bi vanjska ploča trebala svoje adresne izlaze prebaciti u treće stanje.

    Signal -SBHE(System Bus High Enable - Omogućavanje visokog bajta na sistemskoj sabirnici) je omogućeno od strane centralnog procesora da ukaže svim resursima na sabirnici da su linije SD<15...8> šalje se bajt podataka. Signali -SBHE I SA0 koriste se za određivanje koji se bajt šalje na koju polovinu sabirnice podataka (u skladu sa tablicom 3.1).

    Signal -SBHE nije generiran od strane kontrolera regeneracije kada zahvaća sabirnicu, jer nema preuređivanja bajtova i nema stvarnog čitanja podataka.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako vanjska ploča postane master na sabirnici, tada mora proizvesti signal -SBHE isto kao i centralni procesor.

    Ako vanjska ploča, koja je master na sabirnici, generiše signal -OSVJEŽITI, zatim njegov izlazni signal -SBHE moraju biti prebačeni u treću državu.

    BALE

    Signal BALE(Omogući blokadu adrese sabirnice - Dozvola za "zaključavanje" adrese na sabirnici) je stroboskop za pisanje adresa duž linija L.A.<23...17> i govori resursima na magistrali da je adresa istinita i da se može zakačiti u registar. Ovaj signal također obavještava resurse na magistrali da signali S.A.<19...0> I -SBHE su istinite.

    Kada se sabirnica uhvati od strane DMA kontrolera, signal BALE je uvijek jednak logičkoj "1" (proizveden na matičnoj ploči), budući da su signali L.A.<23...17> I S.A.<19...0> true prije nego se generiraju komandni signali. Ako regulator regeneracije postane glavni na sabirnici, onda na liniji BALE logic one level je također podržan od adresnih signala S.A.<19...0> true prije početka komandnih signala.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Kada je sabirnica zarobljena od strane vanjske ploče, signal BALE održava se od strane matične ploče u logičkom stanju "1" za cijelo vrijeme snimanja magistrale. Adresni signali L.A.<23...17> I S.A.<19...0> mora biti istinito tokom vremena kada ploča omogućava komandne signale.

    Ako je centralni procesor glavni na magistrali i izvodi ciklus pristupa resursima na vanjskoj ploči, tada signali L.A.<23...17> su istiniti samo za kratko vrijeme, tako da se signal BALE mora koristiti za "zakopčavanje" adrese u registar. Kada sabirnicu uhvati bilo koji uređaj osim CPU-a, linija BALE se održava na logičkom nivou "1".

    AEN

    Signal AEN Address Enable je omogućeno kada DMA kontroler postane glavni na sabirnici i informiše sve resurse na sabirnici da se DMA ciklusi izvode na magistrali. Dozvoljeni signal AEN također obavještava sve I/O uređaje da je DMA kontroler postavio memorijsku adresu i da bi I/O uređaj trebao biti onemogućen za vrijeme trajanja signala AEN dekodiranje adrese.

    Ovaj signal je onemogućen ako je master na sabirnici centralni procesor ili kontroler regeneracije.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako vanjska ploča generiše -MASTER signal dok izvodi proceduru akvizicije sabirnice, DMA kontroler onemogućuje AEN signal kako bi se omogućio pristup vanjskoj ploči I/O uređajima.

    SD<7...0> I SD<15...8>

    Linije SD<7...0> I SD<15...8> , u pravilu se naziva i sabirnica podataka, i to duž linije SD15 Najznačajniji bit se prenosi i duž linije SD0- najmanje značajan dio. SD linije<7...0>- niska polovina sabirnice podataka, SD<15...0> - gornju polovinu sabirnice podataka. Svi 8-bitni resursi mogu komunicirati samo na donjoj polovini magistrale podataka. Razmjena podataka između 16-bitnog mastera na magistrali i 8-bitnog resursa je podržana zamjenom bajtova na matičnoj ploči (tabela 3.1 i slika 3.1 ilustruju njen rad).

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je signal - OSVJEŽITI Omogućeno, tada eksterne ploče moraju prenijeti svoje izlaze na sabirnici podataka u treće stanje, budući da nema prijenosa podataka tokom ciklusa regeneracije memorije.

    4.2. Komandni signali

    Signali u ovoj grupi kontrolišu i trajanje i tipove pristupnih ciklusa koji se izvode na magistrali. Grupa se sastoji od šest komandnih signala, dva signala spremnosti i tri signala koji određuju veličinu i tip ciklusa.

    Komandni signali određuju tip uređaja (memorija ili zračni talas) i smjer prijenosa (pisanje ili čitanje).

    Signali spremnosti kontroliraju trajanje ciklusa pristupa, skraćujući ga ili, obrnuto, produžujući ga.

    -MEMR I -SMEMR

    Signal -MEMR(Memory Read) omogućava master na sabirnici da čita podatke iz memorije na adresi određenoj signalima duž linija L.A.<23...17> I S.A.<19...0> . Signal -SMEMR(System Memory Read) je funkcionalno identičan -MEMR, osim što je signal -SMEMR omogućeno prilikom čitanja memorije unutar prvog megabajta adresnog prostora. Signal -SMEMR -MEMR -MEMR za 10 nanosekundi ili manje.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    -MEMR, od signala -SMEMR može riješiti samo matična ploča kada čita iz memorije u prvom megabajtu adresnog prostora. Ako vanjska ploča dozvoljava signal -OSVJEŽITI -MEMR u treće stanje, dakle nakon što je signal razriješen -OSVJEŽITI regulator regeneracije će omogućiti ovaj signal.

    -MEMW I -SMEMW

    Signal -MEMW(Memory Write) omogućava master na sabirnici da upiše podatke u memoriju na adresi koju određuju signali duž linija L.A.<23...17> I S.A.<19...0> . Signal -SMEMW(System Memory Write) je funkcionalno identičan -MEMW, osim što je signal -SMEMW omogućeno prilikom pisanja u memoriju unutar prvog megabajta adresnog prostora. Signal -SMEMW generiran na matičnoj ploči iz signala -MEMW i stoga kasni u odnosu na signal -MEMR za 10 ns ili manje.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako vanjska ploča postane master na sabirnici, ona može samo omogućiti signal -MEMW, od signala -SMEMW može riješiti samo matična ploča kada se upisuje u memoriju u prvom megabajtu adresnog prostora. Ako vanjska ploča dozvoljava signal -OSVJEŽITI, tada mora prebaciti svoj izlaz u skladu sa signalom -MEMW u treću državu.

    -I/OR

    Signal -I/OR(I/O Read - Čitanje ulazno/izlaznog uređaja) omogućava master na sabirnici da čita podatke sa ulazno/izlaznog uređaja na adresi određenoj signalima S.A.<15...0> .

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako vanjska ploča dozvoljava signal -OSVJEŽITI, tada mora prebaciti svoj izlaz u skladu sa signalom -I/OR u treću državu.

    -I/OW

    Signal -I/OW(I/O Write - Upisivanje u I/O uređaje) omogućava master na sabirnici da upiše podatke na I/O uređaj na adresi određenoj signalima S.A.<15...0> .

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako vanjska ploča dozvoljava signal -OSVJEŽITI, tada mora prebaciti svoj izlaz u skladu sa signalom -IOW u treću državu.

    -MEM CS16

    Signal -MEM CS16 Odabir ciklusa memorije omogućava 16-bitna memorija da kaže masteru sabirnice da je memorija kojoj pristupa 16-bitna i da bi trebala izvršiti 16-bitni ciklus pristupa. Ako je ovaj signal onemogućen, tada se na magistrali može izvesti samo 8-bitni ciklus pristupa. Memorija kojoj se pristupa mora generirati ovaj signal iz adresnih signala L.A.<23...17> .

    -MEM CS16

    PREPORUKE: Dekodiranje signala L.A. na vanjskoj 16-bitnoj memorijskoj ploči, signal bi trebao biti omogućen -MEM CS16, ako je adresa postavljena na magistrali adresa ove eksterne ploče. Pošto je ovaj signal fiksiran na matičnoj ploči, po pravilu, na opadajućoj ivici signala BALE, zatim kolo za dekodiranje LA signala i naknadno formiranje -MEM CS16 mora imati minimalno moguće kašnjenje (za računare sa taktom procesora od 20 MHz, ne više od 20 ns).

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je vanjska ploča 16-bitna memorija, onda mora o tome obavijestiti mastera na sabirnici tako što će omogućiti signal -MEM CS16.

    S.A.<15...0> i neki I/O uređaj će nasumično omogućiti signal prilikom dekodiranja ove adrese -I/O CS16, onda bi vanjska ploča to trebala zanemariti tokom ciklusa pristupa memoriji.

    -I/O CS16

    Signal -I/O CS16(Odabir I/O ciklusa) je omogućen od 16-bitnog I/O-a da obavijesti mastera sabirnice da I/O kojem pristupa ima 16-bitnu organizaciju i da bi trebao izvršiti 16-bitni ciklus pristupa. Ako je ovaj signal onemogućen, tada se na magistrali može izvesti samo 8-bitni vazdušni pristupni ciklus. Vazdušni uređaj na kojem se izvodi pristupni ciklus mora generirati ovaj signal iz adresnih signala S.A.<15...0> .

    NAPOMENA: DMA kontroler i kontroler regeneracije zanemaruju signal -I/O CS16 prilikom izvođenja DAP-a i ciklusa regeneracije memorije.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je vanjska ploča 16-bitni uređaj u zraku, onda mora o tome obavijestiti mastera na sabirnici tako što će omogućiti signal -I/O CS16.

    Ako eksterna ploča, kao glavni kontroler na magistrali, generiše adresne signale L.A.<23...17> a neki memorijski uređaj će nasumično omogućiti signal prilikom dekodiranja ove adrese -MEM CS16, onda bi vanjska ploča to trebala zanemariti tokom ciklusa pristupa vazdušnom uređaju.

    I/O CH RDY

    Signal I/O CH RDY(I/O Channel Ready) je asinhroni signal koji generira uređaj kojem se pristupa na magistrali. Ako je ovaj signal onemogućen, ciklus pristupa će se produžiti, jer će mu se dodati ciklusi čekanja za vrijeme trajanja zabrane. Kada je master na sabirnici centralni procesor ili eksterna ploča, tada je svaki ciklus čekanja polovina perioda frekvencije SYSCLK(za frekvenciju takta SYSCLK=8 MHz vrijeme ciklusa čekanja - 62,5 ns). Ako je master na sabirnici DDP kontroler, tada je svaki ciklus čekanja jedan period SYSCLK(Za SYSCLK=8 MHz - 125 ns). Prilikom pristupa memoriji na vanjskoj ploči, CPU uvijek automatski ubacuje jedan ciklus čekanja (ako je signal -0WS onemogućeno), stoga, ako vanjska ploča ima dovoljno vremena ciklusa sa jednim ciklusom čekanja, tada onemogućite signal I/O CH RDY nije potrebno.

    NAPOMENA: Prilikom izvršavanja DMA ciklusa, I/O uređaji ne bi trebali generirati ovaj signal, jer I/O uređaj omogućava DRQ signal samo nakon što istinski podaci mogu biti primljeni ili poslati od strane I/O uređaja i potrebna je dodatna kontrola vremena ciklusa od signal. I/O CH RDY br. Samo memorijski uređaji tokom DMA ciklusa mogu omogućiti ovaj signal.

    UPOZORENJE: Signal I/O CH RDY ne može se onemogućiti na vrijeme duže od 15 μs, jer ako se prekrši ovaj zahtjev, moguć je gubitak podataka u dinamičkim memorijskim čipovima.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je eksterna ploča glavna na magistrali, onda ona mora primiti i analizirati signal I/O CH RDY kada obavlja cikluse pristupa drugim resursima. Kada eksterna ploča radi u drugim režimima, mora omogućiti ovaj signal kada je spremna da završi ciklus.

    I/O CH RDY i izvodi sve cikluse pristupa kao normalne 8- ili 16-bitne cikluse pristupa memoriji. Zbog toga, prilikom ugradnje vanjske ploče u računar, što zahtijeva produženje ciklusa pristupa signalu I/O CH RDY, svakako treba da se uverite da u vašem računaru nema tako pogrešno dizajnirane eksterne ploče.

    -0WS

    Signal -0WS(0 stanja čekanja - 0 ciklusa čekanja) je jedini signal na cijeloj sabirnici koji zahtijeva sinhronizaciju sa frekvencijom kada ga prima master na sabirnici SYSCLK. Omogućava se tako što resursu pristupa CPU ili eksterna ploča i obavještava mastera na magistrali da pristupni ciklus mora biti završen bez umetanja sata čekanja.

    NAPOMENA: Iako je ovaj signal priključen na 8-bitni slot za karticu, ne može ga koristiti 8-bitni resurs. Može se koristiti samo kada se pristupa 16-bitnoj memoriji instaliranoj u slot kada je CPU ili eksterna ploča glavni na magistrali. Ovaj signal se zanemaruje kada se pristupa ventilatoru zraka ili kada je DMA kontroler ili kontroler regeneracije glavni na sabirnici.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je vanjska ploča glavna na magistrali, onda mora primiti signal -0WS iz resursa kojima pristupa i izvodi cikluse pristupa na tim resursima bez dodatnih ciklusa čekanja. Kada je vanjska ploča 16-bitna memorija, tada mora omogućiti signal -0WS, ako vam brzina ove memorije omogućava izvođenje ciklusa pristupa bez umetanja dodatnog ciklusa čekanja.

    PAŽNJA! Nažalost, neke vanjske ploče, nakon što su postale master na sabirnici, ignoriraju signal -0WS i izvodi sve cikluse pristupa kao normalne 8- ili 16-bitne cikluse pristupa memoriji.

    -OSVJEŽITI

    Signal -OSVJEŽITI(Osvježavanje) je omogućeno od strane kontrolera osvježavanja kako bi obavijestio sve uređaje na magistrali da su ciklusi osvježavanja memorije u toku.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je vanjska ploča glavna na magistrali, onda mora omogućiti signal -OSVJEŽITI za zahtjev za regeneraciju memorije. U ovom slučaju, ciklus regeneracije će se izvršiti iako kontroler regeneracije nije glavni na sabirnici.

    4.3. Centralni kontrolni signali

    Grupu centralnih upravljačkih signala čine signali različitih frekvencija, upravljački signali i greške.

    Signal -MASTER(Master) mora biti generisan samo od strane vanjske ploče koja želi postati master na sabirnici.

    PAŽNJA! Ako je signal -MASTER omogućeno na vrijeme duže od 15 µs, tada vanjska ploča mora zatražiti ciklus osvježavanja memorije omogućavanjem signala -OSVJEŽITI.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Signal -MASTER dozvoljeno od strane eksterne ploče koja postaje master na sabirnici tek nakon što primi odgovarajući signal -DACK sa DDP kontrolera. Nakon signala -MASTERće biti omogućeno, vanjska ploča mora čekati najmanje jedan period frekvencije SYSCLK, prije početka generiranja signala adrese i podataka i najmanje dvije tačke SYSCLK prije generiranja komandnih signala.

    -I/O CH CK

    Signal -I/O CH CK(Provjera I/O kanala) može se riješiti bilo kojim resursom na sabirnici kao fatalna poruka o grešci koja se ne može ispraviti. Tipičan primjer takve greške je greška pariteta tokom pristupa memoriji. Signal - I/O CH CK mora biti omogućen za vrijeme od najmanje 15 ns. Ako je u vrijeme generiranja ovog signala glavni na sabirnici bio DMA kontroler ili kontroler regeneracije, tada je signal -I/O CH CK biće upisan u registar na matičnoj ploči i obrađen tek nakon što centralni procesor postane glavni na magistrali.

    Ovaj signal je obično povezan sa nemaskiranim prekidnim ulazom CPU-a i njegovo generisanje uzrokuje da računar zaustavi normalan rad.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Ako je signal -I/O CH CK je omogućen u trenutku kada je master na magistrali eksterna ploča, upisuje se u registar na matičnoj ploči i biće obrađen tek nakon što sabirnicu uhvati centralni procesor.

    RESET DRV

    Signal RESET DRV(Reset Driver) generira centralni procesor za početno postavljanje svih pristupnih resursa na magistrali nakon što se napajanje uključi ili padne napon. Minimalno vrijeme rezolucije za ovaj signal je 1 ms.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Eksterne ploče moraju prebaciti svoje izlaze u treće stanje za cijelo vrijeme generiranja ovog signala.

    SYSCLK

    Signal SYSCLK(System Clock - sistemska frekvencija) u ovoj knjizi se pretpostavlja da je 8 MHz, iako je, po pravilu, ova frekvencija ista kao i frekvencija takta centralnog procesora na matičnoj ploči, ali sa nivoom od 50% (po trajanju). logičkog "1". Svi ciklusi sabirnice su proporcionalni SYSCLK, ali svi signali na autobusu osim -0WS, nije sinhronizirano sa SYSCLK.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Kada je vanjska ploča master sabirnice, može se koristiti SYSCLK za postavljanje dužine ciklusa, ali osim generiranja -0WS, može se koristiti bilo koji signal sinhronizacije.

    O.S.C.

    Signal O.S.C. generira matična ploča uvijek na fiksnoj frekvenciji od 14,3818 MHz sa 45-55% (u trajanju) na logičkom nivou “1”. Signal O.S.C. nije sinhronizovan ni sa jednim SYSCLK sa bilo kojim drugim signalom na sabirnici i stoga se ne može koristiti za aplikacije koje zahtijevaju sinhronizaciju s drugim signalima. Istorijski gledano, činilo se da ovaj signal podržava prve kontrolere monitora u boji za personalne računare IBM PC serije. Ovaj signal je pogodan za korištenje s vanjskim karticama jer je isti za sve IBM PC/AT kompatibilne modele računala.

    4.4. Signali prekida

    Grupa signala prekida se koristi za traženje prekida od CPU-a.

    NAPOMENA: Signali zahtjeva za prekidom se obično spajaju na kontroler prekida tipa Intel 8259A. Uprkos činjenici da svaki master na magistrali ima pristup kontrolerima prekida (kao UVV), radi kompatibilnosti softvera samo centralni procesor može servisirati kontroler prekida.

    Frekvencija jednaka 14,3818 MHz<15,14,12,11,10> IRQ<9,7...3>

    Prekid mogu zahtijevati resursi i na matičnoj i na vanjskim pločama rješavanjem odgovarajućeg signala IRQ. Signal mora ostati uključen sve dok CPU ne potvrdi prekid, što obično uključuje CPU koji pristupa resursu koji je zatražio prekid.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Zahtjev za prekid se upisuje u okidač u kontroleru prekida na rastućoj ivici signala zahtjeva za prekidom i mora biti generiran od strane mikro kola sa konvencionalnim TTL izlazima. Stoga, kada birate liniju zahtjeva za prekid za vašu vanjsku karticu, trebate osigurati da ovu liniju ne zauzima nijedna druga vanjska kartica.

    4.5. Signali DMA moda

    Ovi signali podržavaju cikluse prenosa podataka tokom direktnog pristupa memoriji.

    NAPOMENA: DMA kanali<3...0>podržava samo 8-bitni prijenos podataka. DDP kanali<7...5>podržava prijenos samo 16-bitnih podataka.

    Zahtjev za prekidom<7...5,0> DRQ<3,2,1>

    Signali DRQ(DMA zahtjev) se rješavaju resursima na matičnoj ili vanjskim pločama kako bi se zatražila usluga od strane DMA kontrolera ili zaplijenila sabirnica. Signal DRQ mora biti omogućen sve dok DMA kontroler ne omogući odgovarajući signal -DACK.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Signali DRQ se generiraju iz izlaza konvencionalnih TTL mikro krugova, stoga, kada instalirate eksternu ploču u slot ISA sabirnice, trebate pravilno odabrati DMA kanal, koji ne bi trebao biti zauzet drugim vanjskim pločama.

    -DACK<7...5,0> -DACK<3,2,1>

    Signali -DACK(DMA Acknowledge - DMA potvrda) su dozvoljene od strane DMA kontrolera kao potvrda signala zahtjeva DRQ<7...5,3...0> . Rezolucija odgovarajućeg signala -DACK znači da će ili DMA ciklusi biti pokrenuti ili je vanjska ploča uhvatila sabirnicu.

    T/C

    Signal T/C(Terminal Count) omogućava DDP kontroler kada se završi brojanje broja prenosa podataka na bilo kom od DMA kanala, odnosno kada su svi transferi podataka završeni.

    4.6. Ishrana

    Za napajanje vanjskih ploča na sabirnici JE Koristi se 5 DC napona napajanja: +5 V, -5 V, +12 V, -12 V, 0 V (kućište - uzemljenje). Svi vodovi napajanja su povezani na 8-bitni konektor, osim jedne linije +5 V i jedne linije tijela na dodatnom konektoru.

    Maksimalna dozvoljena potrošnja struje za eksternu ploču za svaki napon napajanja data je u tabeli. 4.1.

    Tabela 4.1. Maksimalna potrošnja struje od strane eksterne ploče

    voltaža

    PAŽNJA! Podaci dati u tabeli. 4.1 ne znači da svaka od eksternih kartica instaliranih u slotovima može trošiti takve struje. Tabela vas samo informiše koje struje smiju proći kroz konektor(e) vanjske ploče. Ukupna dozvoljena potrošnja struje za sve eksterne kartice obično je ograničena napajanjem računara. Stoga, prije ugradnje nove eksterne kartice u bus utor, treba utvrditi da li postoji odgovarajuća rezerva za struju za ovu karticu na napajanju računara.

    5. Ciklusi sabirnice

    Autobusni ciklusi JE uvijek asinhroni u odnosu na SYSCLK. Različiti signali su omogućeni i onemogućeni u bilo kom trenutku; unutar dozvoljenih intervala, signali odgovora se također mogu generirati u bilo koje vrijeme. Jedini izuzetak je signal -0WS, koji mora biti sinhronizovan sa SYSCLK.

    Postoje 4 pojedinačne vrste ciklusa u autobusu: Pristup Resursu, RAP, Regeneracija, Tire Capture. Ciklus Pristup Resursu se izvršava ako centralni procesor ili vanjska ploča kao master komuniciraju s različitim resursima na magistrali. DMA ciklus se izvršava ako je DMA kontroler glavni na magistrali i izvodi cikluse prijenosa podataka između memorije i vazdušnog uređaja. Ciklus regeneracije izvodi samo regeneracijski kontroler za regeneraciju dinamičkih memorijskih čipova. Ciklus hvatanja sabirnice izvodi vanjska ploča kako bi postao master na sabirnici.

    Strukturno, ciklusi se razlikuju po tipu mastera na sabirnici i tipovima resursa pristupa na njoj. Unutar tipa ciklusa postoje različite vrste ciklusa, zbog različitog trajanja svake vrste.

    Postoje tri tipa ciklusa Pristup Resursu:

      ciklus sa 0 ciklusa čekanja - ovaj ciklus je najkraći od svih mogućih;

      normalan ciklus - prilikom izvođenja takvog ciklusa, pristupni resurs ne zabranjuje signal spremnosti I/O CH RDY- od sada će se ciklus ovog tipa jednostavno nazivati ​​normalnim;

      produženi ciklus - prilikom izvršavanja takvog ciklusa, pristupni resurs onemogućuje signal spremnosti I/O CH RDY za vrijeme potrebno da resurs primi ili prenese podatke - od sada će se ciklus ovog tipa nazivati ​​produženim.

    U ciklusima PDP i Regeneracije također postoje dva tipa: normalni i produženi, na osnovu istih gore opisanih uvjeta. U nastavku će biti detaljno opisani svi tipovi ciklusa i dodatno u poglavlju. Na slici 6 prikazani su vremenski dijagrami svih tipova ciklusa.

    5.1. Ciklus pristupa resursima

    CPU započinje ciklus Pristup Resursu generisanje signala BALE, obavještavajući sve resurse o istinitosti adrese na linijama S.A.<19...0> , kao i za fiksiranje adresa po resursima duž linija L.A.<23...17> . Resursi moraju reći CPU rezoluciju signala -MEM CS16 ili -I/O CS16 da ciklus mora biti 16-bitni; inače će petlja završiti kao 8-bitna. CPU takođe izdaje uputstva -MEMR, -MEMW, -IORC I -IOWC definisanje vrste resursa (memorija ili vazdušni talas), kao i smer prenosa podataka. Ako se memoriji pristupa u prvom megabajtu adresnog prostora, tada će i signal biti razriješen -SMEMR ili -SMEMW. Pristupni resurs koji treba da promijeni vrijeme ciklusa mora odgovoriti signalom -0WS ili I/O CH RDY da informiše CPU o trajanju ciklusa pristupa.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Eksterna ploča koja je uhvatila sabirnicu također započinje pristupni ciklus generiranjem adresnih signala, ali, za razliku od CPU-a, ne potvrđuje adresu signalom BALE. Na liniji ovog signala, matična ploča održava logički nivo "1" za cijelo vrijeme dok je magistrala zarobljena od strane vanjske ploče. Stoga, vanjska ploča mora proizvoditi istinite signale i duž linija S.A.<19...0> i duž linija L.A.<23...17> prije nego što komandni signali počnu da se omogućavaju, zadržavajući adresu do kraja ciklusa. Vanjska ploča također mora biti sposobna za analizu signala -MEM CS16 I -I/O CS16 i, u skladu sa ovim signalima, završi petlju kao 16- ili 8-bitnu.

    5.1.1. Ciklus pristupa resursima - 0 ciklusa čekanja

    Pristupni ciklus sa 0 ciklusa čekanja je najkraći mogući ciklus na sabirnici. Ova petlja se može izvršiti samo kada CPU ili eksterna ploča (kada je master na magistrali) pristupaju 16-bitnoj memoriji. Na početku ciklusa, master mora postaviti adresu na linijama L.A.<23...17> da odaberete memorijski blok od 128 KB. Ako signal nije dozvoljen -MEM CS16, tada će se petlja završiti kao 8-bitna (normalna ili proširena) i petlja sa 0 ciklusa čekanja neće biti izvršena. Ako resurs dozvoljava signal -MEM CS16, tada mora omogućiti signal -0WS u odgovarajuće vrijeme nakon izdavanja komandnog signala -MEMR ili -MEMW za završetak petlje sa 0 ciklusa čekanja. Kada je signal zabranjen -0WS ciklus se završava normalno ili produženo.

    NAPOMENE: Ako je signal -0WS je dozvoljen od strane resursa pristupa, tada master ne zahtijeva dozvolu signala I/O CH RDY- ignorisan je. Samo signal -0WS je u autobusu JE sinhroni u odnosu na SYSCLK signal.

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Eksterna ploča koja je preuzela magistralu obavlja pristupni ciklus sa 0 ciklusa čekanja kao i centralni procesor.

    5.1.2. Ciklus pristupa resursima - normalan ciklus

    Normalnu petlju može izvršiti CPU ili vanjska ploča (ako posjeduje magistralu) kada se pristupa 8- ili 16-bitnom uređaju ili memoriji. Nakon izdavanja adresnih signala sabirnici, master omogućava komandne signale -MEMR, -MEMW, -I/OR ili -I/OW. Kao odgovor, resurs mora riješiti signal I/O CH RDY u odgovarajuće vrijeme, inače će ciklus završiti kao produženi. Dozvola I/O CH RDY prisiljava mastera da završi ciklus u određenom vremenskom periodu (ovaj period je višekratnik perioda SYSCLK, ali nije sinkroniziran s njim). Trajanje normalnog ciklusa je određeno vremenom rezolucije signala -MEMR, -MEMW, -I/OR ili -I/OWšto opet zavisi od veličine podataka i adrese pristupnog resursa.

    5.1.3. Ciklus pristupa resursima - produženi ciklus

    Proširenu petlju može izvršiti CPU ili vanjska ploča (ako posjeduje magistralu) kada se pristupa 8- ili 16-bitnom uređaju ili memoriji. Master sabirnice izvršava proširenu petlju ako resurs kojem se pristupa ne omogući signal u odgovarajuće vrijeme nakon što je komandni signal omogućen. I/O CH RDY. Master nastavlja da omogućava komandni signal sve dok resurs ne dozvoli signal I/O CH RDY. Vremenski period produženog ciklusa je takođe višestruk SYSCLK

    5.2. Ciklus regeneracije - Uvod

    Kontroler regeneracije pokušava zauzeti sabirnicu nakon što je prošlo 15 µs od posljednjeg ciklusa regeneracije na dva načina:

      ako je magistrala u vlasništvu centralnog procesora, onda po završetku trenutne komande prenosi sabirnicu na kontroler regeneracije;

      ako je magistrala u vlasništvu DMA kontrolera, tada će se sabirnica prenijeti na regeneracijski kontroler tek nakon završetka ciklusa prijenosa podataka od strane DMA kontrolera.

    Svrha sljedećih signala tokom ciklusa regeneracije ima originalnu interpretaciju:

    -OSVJEŽITI- rezolucija ovog signala označava početak ciklusa regeneracije;

    Adresa- regulator regeneracije generira samo signale preko SA adresnih linija<7...0>, preostali adresni signali nisu definirani;

    -MEMR- signal -MEMR omogućeno od strane kontrolera regeneracije, dok će signal -SMEMR biti omogućen od strane matične ploče;

    SD<15...0> - kontroler regeneracije ignorira linije podataka i svi resursi na magistrali su potrebni za prijenos svojih izlaza preko podatkovnih linija u treće stanje;

    Regulator regeneracije ignorira ove signale:

    -MEM CS16

    -I/O CS16

    KARAKTERISTIKE ZA VANJSKE PLOČE

    Kada je vanjska ploča master na sabirnici, ona mora samostalno omogućiti signal -OSVJEŽITI za pokretanje ciklusa regeneracije memorije.

    5.2.1. Ciklus regeneracije - normalan ciklus

    Regulator regeneracije pokreće normalni ciklus regeneracije omogućavanjem signala -MEMR, kao odgovor resurs mora riješiti signal I/O CH RDY u odgovarajuće vrijeme, inače će ciklus završiti kao produženi. Dužina ciklusa je zapravo određena samo trajanjem signala -MEMR.

    5.2.2. Ciklus regeneracije - produženi ciklus

    Regulator regeneracije izvodi produženi ciklus ako barem jedan pristupni resurs ne dozvoljava signal I/O CH RDY u odgovarajuće vrijeme nakon rezolucije signala -MEMR. Regulator regeneracije nastavlja da omogućava signal -MEMR prije signala I/O CH RDYće biti omogućeno svim resursima na sabirnici. Vremenski period produženog ciklusa je takođe višestruk SYSCLK, ali nije sinkroniziran s njim.

    5.3. DAP ciklus

    DMA ciklus je sličan pristupnom ciklusu koji izvodi drugi vlasnik magistrale. DMA ciklusi počinju nakon što je signal omogućen -DACK DDP kontroler. Veličina prenetih podataka zavisi od korišćenog DMA kanala: kanali 0 do 3 su definisani za 8-bitni prenos podataka, a kanali 5 do 7 su definisani za 16-bitni prenos podataka. Signali -MEM CS16 I -I/O CS1 6 sam DMA kontroler zanemaruje, ali ove signale koristi bajt shuffler na matičnoj ploči.

    DMA ciklusi se izvode samo između memorijskih i I/O uređaja. Adresni signali koje generiše DMA kontroler sadrže samo memorijsku adresu i ne sadrže adresu u vazduhu. Proces slanja podataka u DMA ciklusu funkcionira ovako: izvor podataka stavlja podatke na magistralu, a prijemnik podataka mora biti spreman da ih primi u isto vrijeme. Naredbe za pisanje i čitanje su također omogućene istovremeno kako bi se pravilno izabrao smjer prosljeđivanja. U ovom slučaju, signal čitanja je nužno omogućen prije signala pisanja kako bi se izbjegla kolizija između bafera podataka u dva resursa.

    Vazdušni uređaj koji traži DMA režim na magistrali dozvoljava signal DRQ odgovarajući kanal. Ako je master na sabirnici centralni procesor, onda on pušta sabirnicu do DMA kontrolera, koji zauzvrat obavještava zračni kontroler s dopuštenjem signala -DACK da počinje RAP ciklus. Pošto DMA kontroler proizvodi samo memorijsku adresu, vazdušni uređaj mora koristiti signale -I/OR, -I/OW I -DACK za prijem ili prijenos podataka u DMA modu.

    DMA ciklus počinje sa aktiviranjem signala -DACK odgovarajući kanal, kao i signal AEN. Rezolucija signala AEN DMA kontroler obavještava sve resurse na magistrali da adrese i komandne signale generira DMA kontroler, a ne centralni procesor, regeneracijski kontroler ili vanjska ploča. Nakon što su komandni signali riješeni, DMA kontroler analizira signal I/O CH RDY za određivanje trajanja ciklusa.

    Ako se ciklus produži, tada je period produženja višestruki od dvostrukog perioda SYSCLK, iako nije sinhronizovan sa SYSCLK.

    NAPOMENA: Podaci koji se upisuju u memoriju ili na uređaj u zraku moraju biti istiniti prije nego što se komanda pisanja omogući i ostati istinita dok se naredba za pisanje ne onemogući.

    5.3.1. TAP ciklus - Normalan ciklus

    Normalnu petlju izvodi DMA kontroler za 8- ili 16-bitni prijenos podataka. DMA kontroler omogućava signale -MEMR, -MEMW, -I/OR I -I/OW, a memorija sa kojom se vrši razmjena mora dozvoliti signal I/O CH RDY u odgovarajuće vrijeme, inače će ciklus završiti kao produžen. Rezolucija signala I/O CH RDY uzrokuje da kontroler završi petlju u određenom vremenskom periodu; ovaj period je višestruki od perioda SYSCLK, ali nije sinkroniziran s njim.

    Trajanje rezolucije signala -MEMR, -MEMW, -I/OR I -I/OW određuje trajanje cijelog ciklusa, a ovo trajanje ovisi o veličini podataka za različite adresne prostore.

    5.3.2. DAP ciklus - produženi ciklus

    Produženi DMA ciklus izvršava DMA kontroler na isti način kao i normalni ciklus, osim što u produženom ciklusu signal I/O CH RDY nije omogućen u odgovarajućem trenutku nakon što je komandni signal omogućen. DPM kontroler nastavlja da dozvoljava komandne signale sve dok vazdušni uređaj ne dozvoli signal I/O CH RDY. Vremenski period za koji se ciklus produžava je u ovom slučaju višekratnik dvostrukog perioda SYSCLK, iako nije sinhroni sa SYSCLK.

    NAPOMENA: Adresni signali L.A.<23...0> tokom normalnog ciklusa pristupa moraju biti upisani u registar od strane pristupnih resursa kako bi zapamtili adresu tokom cijelog ciklusa. Za razliku od normalnih petlji, kada se izvršavaju DMA petlje, ovi adresni signali su istiniti za cijelu DMA petlju.

    PAŽNJA! DMA kanali koje koriste eksterne kartice za hvatanje sabirnice moraju biti programirani u kaskadnom modu.

    5.4. Ciklus preuzimanja guma

    Svaka eksterna kartica instalirana u slot može postati glavna na ISA magistrali. Eksterna ploča za hvatanje sabirnice mora početi sa aktiviranjem signala DRQ DMA kanal unapred programiran u kaskadnom režimu. DMA kanal programiran u kaskadnom režimu pretpostavlja da su svi DMA ciklusi izvršeni od strane eksternog resursa - u ovom slučaju, eksterne ploče. DMA kontroler na eksternu ploču odgovara rezolucijom signala -DACK; vanjski odbor kao odgovor na -DACK dozvoljava signal -MASTER. Nakon rezolucije signala -MASTER vanjska ploča mora čekati neko vrijeme prije nego što može započeti svoje pristupne cikluse.

    6. Vremenski dijagrami ISA sabirnice

    Tabele u ovom poglavlju pokazuju vremenske odnose za sve cikluse objašnjene u prethodnom poglavlju. Sva vremena su data za frekvenciju SYSCLK = 8 MHz, stoga, ako projektovana eksterna ploča mora da radi u računarima sa SYSCLK frekvencijom do 16 MHz, onda treba pooštriti zahteve za brzinu eksterne ploče najmanje za duplo više od datih. Za resurse, sva vremena se mjere na konektoru resursa pristupa. Vrijeme u rasponu od 0...11 ns se dodaje da se uzme u obzir vrijeme širenja signala duž magistrale. U nekim slučajevima, signal se vraća sa resursa koji je bio izvor signala sinhronizovanog sa onim koji se vraća, u kom slučaju se dodaje 0...22 ns. Vrijeme "0" označava teoretski minimalno moguće vrijeme i koristi se samo kao procjena prilikom određivanja vremena ciklusa.

    NAPOMENA: Tabele i vremenski dijagrami prikazuju samo -MEMR i -MEMW signale, ne i -SMEMR i -SMEMW signale. -SMEMR i -SMEMW signali se generišu sa kašnjenjem od 0 do 10 ns u odnosu na -MEMR i -MEMW signale u slučajevima kada je CPU, DMA kontroler ili regeneracioni kontroler glavni na magistrali. Ako je master na sabirnici vanjska ploča, onda se kašnjenje može povećati na 22 ns.

    NAPOMENA: U svim vremenskim tabelama, TCLK označava period takta magistrale.

    Tabela 6.1. Vremenski odnosi za cikluse sa 0 ciklusa čekanja, normalne i produžene, za 16- i 8-bitne memorijske resurse i talase.

    N parametar

    Ime

    Master sabirnice (ns)

    Pristupni resurs (ns)

    Max

    Max

    L.A.<23...17>postavljeno na BALE

    Širina impulsa BALE

    L.A.<23...17>sačuvano nakon BALE

    L.A.<23...17>

    MEM CS16 istinito iz LA<23...17>

    MEM CS16 se održava nakon LA<23...17>

    S.A.<19...0>postavljeno prije naredbe za 16-bitnu memoriju

    S.A.<19...0>postavljeno prije komande za 16- ili 8-bitni zračni talas

    SBHE se postavlja prije naredbe za 16-bitnu memoriju

    SBHE se postavlja ispred komande za 16- ili 8-bitne talase

    Trajanje naredbi za pisanje/čitanje prilikom pristupa 16-bitnoj memoriji (normalan ili produženi ciklus)

    Trajanje naredbi za pisanje/čitanje prilikom pristupa 16-bitnim eternim talasima (normalan ili produženi ciklus)

    Trajanje naredbi za pisanje/čitanje prilikom pristupa 16-bitnoj memoriji (0 ciklusa takta)

    Trajanje naredbi za pisanje/čitanje prilikom pristupa 8-bitnim resursima (normalan ili produženi ciklus)

    S.A.<19...0>postavljeno na BALE

    Vrijeme poravnanja podataka nakon 16-bitnog signala čitanja memorije

    Vrijeme poravnanja podataka nakon 16-bitnog UVV signala čitanja

    Vrijeme poravnanja podataka nakon 16-bitnog signala čitanja memorije za ciklus sa 0 ciklusa čekanja

    d Vrijeme uspostavljanja podataka nakon 8-bitnog signala čitanja

    Vrijeme poravnanja podataka u 16-bitnom ciklusu pisanja u memoriju

    Vrijeme uspostavljanja podataka u ciklusu pisanja u 16-bitni zračni talas

    Podešavanje vremena za podatke u ciklusu pisanja na 8-bitni resurs

    S.A.<19...0>, -SBHE se uklanjaju nakon komandnog signala

    Vrijeme isključivanja naredbe prilikom pristupa 16-bitnom resursu

    Vrijeme isključivanja naredbe prilikom pristupa 8-bitnom resursu

    Pročitajte vrijeme sređivanja podataka prije uklanjanja naredbe

    Zadržavanje podataka tokom čitanja

    Zadržavanje podataka tokom pisanja

    Prevođenje SD signala<15...0>u treće stanje nakon uklanjanja naredbe

    0WS istina iz naredbe

    I/O CS16 istinito od SA<19...0>

    I/O CS16 se zadržava nakon uklanjanja SA<19...0>

    I/O CH RDY za evidentiranje "0" iz 16-bitne naredbe

    I/O CH RDY za evidentiranje "0" iz 8-bitne komande

    I/O CH RDY trajanje u log."0" TCLK

    Uklanjanje komandnog signala nakon omogućavanja I/O CH RDY

    Omogućavanje BALE nakon brisanja naredbe

    Period sata (TCLK)

    Podaci se postavljaju prije nego što se omogući I/O CH RDY

    L.A.<23...17>drži nakon što je omogućena komanda za pristup memoriji

    Trajanje -0WS

    0WS se postavlja prije pada SYSCLK

    0WS se održava nakon što SYSCLK padne

    Napomena: (1) LA<23...17>se proizvode na isti način kao SA<19...0>, ako glavni na sabirnici nije centralni procesor.

    Table 6.2. Vremenski odnosi za ciklus regeneracije memorije.

    N parametar

    Ime

    Regulator regeneracije (ns)

    Eksterna ploča (ns)

    Max

    Max

    Trajanje -MEMR/-SMEMR

    S.A.<19...0>instaliran pre-MEMR

    S.A.<19...0>održan nakon završetka komande

    I/O CH RDY za logovanje."0" iz -MEMR/-SMEMR

    MEMR se briše nakon što je omogućen I/O CH RDY

    REFRESH je postavljeno na -MEMR

    REFRESH se održava nakon onemogućavanja -MEMR (1)

    S.A.<19...0>i -MEMR se drže u trećem stanju nakon što je -MEMR inhibiran

    Kašnjenje za vraćanje kontrole sabirnice nakon onemogućavanja -REFRESH

    NAPOMENA: (1) Signal -REFRESH može se zadržati dugo vremena kako bi se izvršilo više ciklusa osvježavanja memorije.

    Tabela 6.3. Vremenski odnosi za DMA cikluse

    N parametar

    Ime

    Eksterna ploča kao izvor ili DMA kontroler (ns)

    Eksterna ploča kao prijemnik (ns)

    Min Max Min Max

    DACK, AEN su postavljeni na -I/OR, -I/OW

    Adresa se postavlja ispred komande

    I/OR je postavljen na -MEMW

    MEMR je postavljen na -I/OW

    Podaci se postavljaju iz -I/OR(1)

    Podaci su postavljeni od -MEMR(1)

    Podaci su postavljeni na rezoluciju -MEMW

    Podaci su postavljeni na -I/OW rezoluciju

    Komanda za čitanje se zadržava nakon što je naredba za pisanje onemogućena

    Adresa se zadržava nakon što su komande zabranjene

    Podaci koji se drže nakon što su komande onemogućene(1)

    I/O CH RDY za evidentiranje "0" iz naredbe za pristup memoriji (1)

    T/C se postavlja ispred komande

    T/C se zadržava nakon što je naredba zabranjena

    Trajanje -I/OR

    Trajanje -MEMR

    Trajanje -I/OW

    Trajanje -MEMW

    DACK se zadržava nakon što je komanda onemogućena

    AEN se zadržava nakon što je komanda onemogućena

    DRQ aktivan od omogućene naredbe

    Dnevnik trajanja."0" I/O CH RDY

    NAPOMENA: (1) Ne za DMA kontroler, već za eksternu ploču.

    Tabela 6.4. Vremenski odnosi za ciklus preuzimanja autobusa

    N parametar

    Ime

    CPU, DMA kontroler, kontroler regeneracije (ns)

    Eksterna ploča (ns)

    Min Max Min Max

    DACK je omogućen nakon što je omogućen DRQ (1)

    Odgoda -MASTER od -DACK 0

    DMA kontroler pomera svoje izlaze u treće stanje

    AEN se održava nakon što je -MASTER omogućen

    Eksterna ploča počinje proizvoditi adresne, podatke i komandne signale

    -MASTER signal se zadržava nakon što je DRQ onemogućen

    -DACK signal se zadržava nakon onemogućavanja DRQ (2)

    Eksterna ploča pomiče svoje izlaze u treće stanje dok se -MASTER signal ne isključi

    CPU počinje generirati svoje signale nakon što je -MASTER signal onemogućen

    Rice. 6.5. Normalan i produžen ciklus pisanja/čitanja 8-bitnog I/O uređaja

    Rice. 6.6. Normalan i produženi ciklus regeneracije: 1 - Vrijeme rezolucije -REFRESH signala može se povećati za obavljanje nekoliko ciklusa regeneracije; 2 - Trenutni master na sabirnici mora prenijeti signale adrese i komande u treće stanje prije nego se omogući REFRESH signal.

    Rice. 6.7. Normalni i produženi DAP ciklusi: 1 - DRQ može postati negativan u bilo kom trenutku nakon -DACK; 2 - IO/CH RDY je onemogućen za umetanje dodatnih taktova mirovanja. Svaki dodatni ciklus takta čekanja sastoji se od dva SYSCLK ciklusa takta; 3 - DMA kontroler aktivira TC signal tokom posljednjeg prijenosa podataka

    Rice. 6.8. Ciklus hvatanja magistrale: (1) - DMA kontroler; (2) - Vanjska ploča

    7. Karakteristike sabirničkih konektora

    7.1. Dodjela pinova konektora instaliranih u slotovima

    Dodjela pinova konektora prikazana je odozgo prema dolje (sa ugrađenom vanjskom pločom, strana komponente odgovara desnoj polovini konektora, a lokacija montažne trake odgovara vrhu).

    36-pinski konektor:

    kućište (GND)

    kućište (GND)

    SA14

    kućište (GND)

    7.2. Električne karakteristike signala

    Skraćenice opisane u nastavku će se koristiti kasnije kada se raspravlja o zahtjevima za karakteristike signala na sabirnici.

    TRI - izlaz sa tri stanja. Ima stanja: aktivan nizak nivo, aktivan visoki nivo, isključen;

    OK - izlaz otvorenog kolektora. Ima stanja: aktivan nizak nivo, isključen;

    TTL - izlaz tranzistor-tranzistorske logike sa dva stanja. Ima stanja: aktivan nizak nivo, aktivan visoki nivo;

    Iih - ulazna struja visokog nivoa. Ova struja se javlja kada je izlaz sa aktivnim visokim nivoom povezan na ulaz;

    Iil - niska ulazna struja. Ova struja se javlja kada je aktivni niski izlaz spojen na ulaz.

    Ioh - izlazna struja visokog nivoa. Karakterizira kapacitet opterećenja izlaza uređaja na aktivnom visokom nivou;

    Iol - izlazna struja niskog nivoa. Karakterizira kapacitet opterećenja izlaza uređaja na aktivnom niskom nivou;

    Vih - ulazni napon visokog nivoa;

    Vil - ulazni napon niskog nivoa;

    Voh - izlazni napon visokog nivoa;

    Vol - niski nivo izlaznog napona.

    Naponi i struje duž signalnih kola na sabirnici.

    Na ISA magistrali se mogu koristiti samo tri tipa uređaja: TTL (tranzistor-tranzistorska logika), TRI (tristabilni) i OK (izlaz otvorenog kolektora). TTL uređaj može biti samo fiksnog smjera - bilo ulaz ili izlaz. Uređaj sa tri stanja može biti i ulaz i izlaz, i pored toga, biti u trećem stanju.

    Tabela 1.2 - Električne karakteristike izvora signala ISA sabirnice

    Prijemnik

    Tabela 1.2 - Električne karakteristike izvora signala ISA sabirnice

    Prijemnik

    predajnik

    NAPOMENE:

    (1) Voh=2,4 V Vih=2,7 V Vol=0,5 V Vil=0,4 V

    Sve struje u tabeli su izražene u miliamperima. Znak "-" ispred trenutne vrijednosti znači da struja teče od vanjske ploče do unakrsnog povezivanja matične ploče.

    (2) Izlazni vod otvorenog kolektora može se spojiti na TTL ulaz.

    (3) Na liniji sa izlazom otvorenog kolektora, struja Ioh (struja curenja) ne bi trebala prelaziti 0,4 miliampera za svaki slot.

    7.4. Dodatni zahtjevi za prijemnike i predajnike na vanjskim pločama

    Razvoj vlastitih vanjskih ploča zahtijeva usklađenost s nizom uslova, pored onih navedenih u tabeli. 7.4. Ovo su sljedeći uslovi:

    • pri projektovanju topologije štampane ploče na eksternoj ploči treba uzeti u obzir da maksimalna dužina štampanog vodiča od kontakta konektora do izlaza komponente spojene na ovo kolo ne sme biti veća od 65 mm;
    • Da biste smanjili šum na magistrali i smanjili refleksije, trebali biste koristiti komponente s nagibom porasta/pada izlaznog napona od najmanje 3 ns.
    • Maksimalni kapacitet za svaki pin konektora interfejsa ne bi trebao biti veći od 20 pF. Ovaj kapacitet uključuje ulazne kapacitete svih prijemnika i predajnika spojenih na pin, i, pored toga, kapacitivnost štampanog vodiča koji povezuje pin konektora sa komponentama.

    Tabela 7.2. Vrijednosti otpornika i način povezivanja

    Dosljedno

    7.5. Otpornici opterećenja sabirnice

    Otpornici opterećenja su ugrađeni na unakrsnu vezu matične ploče kako bi se optimizirale električne karakteristike magistrale. Otpornici opterećenja su povezani na dva načina:

    • između signalne linije i +5 V;
    • u seriji između resursa na matičnoj ploči i signalne linije na magistrali.

    7.6. Mehaničke karakteristike vanjske ploče

    Prilikom dizajniranja vanjske ploče treba uzeti u obzir i sljedeće:

    • debljina ploče treba biti 1,6 mm +- 0,2 mm (uzimajući u obzir debljinu folije);
    • savijanje ploče ne smije prelaziti 1,3 mm po cijeloj dužini ploče;
    • Maksimalna visina komponenti na ploči nije veća od 10 mm.

    Sabirnica, kao što znate, je, u stvari, skup žica (vodova) koji povezuju različite računarske komponente za napajanje i razmjenu podataka. U "minimalnoj konfiguraciji" sabirnica ima tri vrste linija:

    • kontrolne linije;
    • linije za adresiranje;
    • linije podataka.

    Uređaji povezani na sabirnicu podijeljeni su u dvije glavne kategorije - bus masters i bus slave. Master sabirnice su uređaji koji mogu kontrolirati rad magistrale, odnosno inicirati pisanje/čitanje itd. Bus slave su, prema tome, uređaji koji mogu odgovoriti samo na zahtjeve. Istina, postoje i „inteligentni robovi“, ali ćemo ih za sada prikriti radi jasnoće. Pa, to je u suštini sve što trebate znati o gumama da biste razumjeli o čemu ćemo dalje razgovarati.

    1981. IBM je predstavio novu magistralu za upotrebu u PC/XT seriji računara. Sabirnica je bila izuzetno jednostavna po dizajnu, sadržavala je 53 signalne linije i 8 strujnih vodova i bila je sinhrona 8-bitna sabirnica s paritetnim i dvorazinskim prekidima (prekidi okidača), kada se koriste, uređaji traže prekide promjenom stanja odgovarajuća IRQ linija od 0 do 1 ili nazad. Ovakav raspored zahtjeva za prekidom dozvoljava samo jednom uređaju da koristi svaki prekid. Osim toga, magistrala nije podržavala dodatne mastere magistrale, a jedini uređaji koji su upravljali magistralom bili su procesor i DMA kontroler na matičnoj ploči.

    Nedostaci gume koji proizlaze iz jednostavnosti dizajna su očigledni. Stoga, za upotrebu u IBM-AT („Advanced Technology“) računarima, nova verzija magistrale, kasnije nazvana ISA, predstavljena je 1984. godine. Dok je zadržala kompatibilnost sa starijim 8-bitnim karticama za proširenje, nova verzija sabirnice imala je niz značajnih prednosti, kao što su:

    • dodavanje 8 linija podataka dozvoljeno za 16-bitnu razmjenu podataka;
    • dodavanje 4 adresne linije omogućilo je povećanje maksimalne veličine adresabilne memorije na 16 MB;
    • Dodato je 5 dodatnih IRQ linija sa okidačem;
    • implementirana je djelomična podrška za dodatne mastere sabirnice;
    • frekvencija magistrale je povećana na 8 MHz;
    • propusnost dostigla 5,3 MV/sec.

    Implementacija upravljanja sabirnicom nije bila naročito uspješna, budući da je, na primjer, zahtjev za oslobađanje sabirnice („Bus hang-off“) trenutnom masteru sabirnice trajao nekoliko ciklusa za obradu, a svaki master je morao periodično oslobađati sabirnicu. da omogućite izvođenje ažuriranja memorije (osvježavanje memorije) ili sami izvršite ažuriranje. Kako bi se osigurala kompatibilnost unatrag sa 8-bitnim pločama, većina novih funkcija implementirana je dodavanjem novih linija. Pošto je AT izgrađen na Intel 80286 procesoru, koji je bio znatno brži od 8088, bilo je potrebno dodati generator stanja čekanja. Da bi se zaobišao ovaj generator, koristi se slobodna linija (pin B8 SADA-"No Wait State") originalne 8-bitne magistrale. Kada je ovaj red postavljen na 0, satovi čekanja se preskaču. Korištenje originalne magistralne linije kao NOWS-a omogućilo je programerima da naprave i 16-bitne i 8-bitne "brze" ploče.

    Novi slot je sadržavao 4 nove adresne linije (LA20-LA23) i kopije tri donje adresne linije (LA17-LA19). Potreba za takvim dupliciranjem nastala je zbog činjenice da su XT adresne linije bile latched linije, a ova kašnjenja su dovela do smanjenja performansi perifernih uređaja. Korištenje duplikata adresnih linija omogućilo je 16-bitnoj kartici da rano u ciklusu otkrije da joj se pristupa i pošalje signal da može podnijeti 16-bitnu komunikaciju. Zapravo, ovo je ključna tačka u osiguravanju kompatibilnosti unatrag. Ako procesor pokuša pristupiti 16-bitnoj ploči, moći će to učiniti samo ako od nje dobije odgovarajući IO16 odgovor. Inače, čipset pokreće dva 8-bitna ciklusa umjesto jednog 16-bitnog ciklusa. I sve bi bilo u redu, ali postoji samo 7 adresnih linija bez kašnjenja, tako da ploče koje koriste opseg adresa manji od 128 KB nisu mogle utvrditi da li je prenesena adresa u njihovom adresnom rasponu i, shodno tome, poslati IO16 odgovor. Stoga mnoge ploče, uključujući EMS ploče, nisu mogle koristiti 16-bitnu komunikaciju. Više detalja o radu ISA sabirnice možete pronaći u opisu.

    Uprkos nedostatku zvaničnog standarda i tehničkih detalja, ISA magistrala je 1984. godine premašila potrebe prosječnog korisnika, a dominacija IBM-a AT na masovnom tržištu računara dovela je do toga da su proizvođači kartica za proširenje i AT klonova prihvatili ISA kao standard. Takva popularnost magistrale dovela je do toga da su ISA slotovi i dalje prisutni na svim matičnim pločama, a ISA ploče se i dalje proizvode. Istina, Microsoft u PC99 specifikaciji predviđa napuštanje ISA-e, ali, kako kažu, do tada još treba pričekati.

    mob_info